FPGA开发流程

概述
编译器的主要工作就是将HDL代码和约束文件转换为FPGA芯片上的实际数字电路。主要分为五大方面:综合、翻译融合、映射、布局布线和配置文件生成。
1.综合
①综合的输入包括:HDL代码、综合设置、器件型号
②综合的输出:RTL门级网表和综合报告
③综合的工具
2.翻译融合
翻译融合是编译流程的第二个环节,编译器在这一环节将输入的门级网表和约束信息转换为后续作业工具所能识别的逻辑连接。位置约束、时序约束和用户约束在翻译融合环节之后进行设置。
①翻译融合的输入包括:RTL门级网表、翻译融合设置和器件型号等
②翻译融合的输出:顶层可识别门级网表和翻译融合报告
③翻译融合的工具:FPGA生产厂商集成
3.映射
映射是编译流程的第三个环节
①映射的输入:
(1)顶层可识别门级网表:映射环节的主体输入,包含了FPGA设计的全部功能信息和基本逻辑实现
(2)映射设置
(3)器件型号
(4)位置约束
(5)时序约束
(6)管脚约束:将FPGA中的端口对应到FPGA物理管脚的约束,在编译的最开始进行管脚约束设置,综合和翻译融合环节中管脚约束只是一个可选项。
②映射的输出:顶层资源位置门级网表、门延时文件和映射报告。
③映射工具
4.布局布线
布局布线是编译流程中的第四个环节,编译器在这个环节将我们的FPGA设计完全转化为FPGA芯片上的具体数字电路实现。
①布局布线的输入:
(1)顶层资源位置门级网表
(2)布局布线设置
(3)器件型号
(4)管脚约束:管脚约束是一种布局信息,布局信息影响布线操作。
(5)时序约束:布局从宏观上决定时间延迟参数,布线从细节上确定时间延迟参数。
②布局布线的输出:
(1)最终资源位置门级网表
(2)布线信息
(3)延时文件
(4)布局布线报告
③布局布线工具
5.配置生成
配置生成是编译流程中的最后一个环节,在这一环节中FPGA设计转化为FPGA芯片的配置文件
①配置生成的输入:
(1)最终资源位置门级网表
(2)布线信息
(3)配置生成设置
(4)器件型号
②配置生成的输出:
(1)配置文件:同一个FPGA设计的配置文件是根据FPGA芯片的配制方法不同而决定的,配置接口和配制方法的不同决定了FPGA的配置方法。如:ALtera公司的FPGA产品,使用JTAG接口进行配置使用后缀为*.sof的配置文件;使用外部配置芯片使用后缀为*.pof;Xilinx公司的FPGA产品,使用Flash作为配置芯片时,后缀为*.bit文件;使用PROM作为配置芯片,后缀为*.mcs。
对于固定的一个FPGA芯片型号来说,同一种配置文件的大小是固定的,我们可以将FPGA内部的所有资源信息和布线信息看做是一个个不同的开关,控制开关的通断来实现资源中不同的功能和结构,因此,无论FPGA设计的功能如何芯片中的开关总数不会改变,配置文件则是用来描述各个开关的通断状态的,所以配置文件的大小纸盒FPGA芯片的型号有关,而与FPGA设计本身无关。
(2)配置生成报告
③配置生成工具

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值