verilog中case使用及资源消耗

本文介绍了如何使用Verilog的case语句将12位独热码转换为4位二进制,并探讨了不同case语句实现方式对资源消耗的影响。通过对比分析,发现加入default的情况可以减少LUT资源,而将default设为4'b0000则可能导致资源增加。
摘要由CSDN通过智能技术生成

背景描述:将一个独热码转换成二进制。

分析:由于当前使用的zynq是6输入的lut,设计一个较简单的12bit独热码转换为4bit的二进制。根据如下代码可以知道每一个bit都可以用一个lut解决问题。

第一版的代码:

assign binary_data                  = binary;    
always @(posedge clk) begin
        case(onehot_data) 
            VAL_0   : binary            <= 4'b0000;
            VAL_1   : binary            <= 4'b0001;
            VAL_2   : binary            <= 4'b0010;
            VAL_3   : binary            <= 4'b0011;
            VAL_4   : binary            <= 4'b0100;
            VAL_5   : binary            <= 4'b0101;
            VAL_6   : binary            <= 4'b0110;
            VAL_7   : binary            <= 4'b0111;
            VAL_8   : binary            <= 4'b1000;
            VAL_9   : binary            <= 4'b1001;
            VAL_10  : binary            <= 4
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值