PCIE设计

一、基础介绍

1、PCIE的输出接口常用金手指。

2、PCIE差用差分信号的方式传输。

3、PCIE X1 就是1个发送差分对,1个接收差分对。PCIE X4就是4个发送差分对,4个接收差分对.

        X8、X16亦是如此。

4、PCIE共有的引脚是: WAKE#信号、SMBUS、JTAG、热插拔信号PRSNT1#、IIC信号 SLCK SDA、时钟差分对RFCLK+ RFCLK-、复位信号PERST#。

5、PCIE插槽和PCIE设备都需要差分时钟信号。频率的范围是100MHz±300ppm

        目的是与处理器系统同步。不同PCIE插槽间RFCLK+ RFCLK-差分时钟的传送延时差约为2.5ns。

                PCIE设备可以使用独立的参考时钟,不使用RFCLK+ RFCLK-信号。可在PCIE设备配置空间的Link Control Register中配置。

       Common Clock Configuration == 1,则说明与PCIe链路端设备使用同相位的参考时钟;

如果为 Common Clock Configuration == 0,则表示用的是异步时钟。

PCIE是交流耦合方式。

        

        

        

        

  • 8
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值