SystemVerilog语法基础——8高级语法(2):parameter

本文介绍了Verilog中的parameter关键字,如何通过parameter实现模块参数化,以增加代码的灵活性和复用性。通过示例展示了parameter在定义元件大小、类型以及全局常量等方面的应用,使得同一模块可以适应不同数据类型的运算,如32位和64位加法器。此外,还展示了parameter在顶层模块中如何通过实例化传递参数,以及在条件编译中的作用。
摘要由CSDN通过智能技术生成

高级语法(2):parameter

前言

视频语法学习:B站链接
笔记原地址:https://github.com/Tan-YiFan/DigitalLogic-Autumn2020/tree/syntax/syntax网络不好,可能打不开。

parameter

引入元件例化的语法,有很多好处。

然而,已有的模块设计语法,缺乏flexibility。

适用同一算法int(32位)和long(64位) long的加法器,需要写两个。

为了使模块代码具有更高的复用性,引入参数parameter。

module adder #(
	parameter int N = 16,//parameter+类型+常量名,等号后面是默认值
    parameter logic [31:0] W = 32'd100000,//无符号32位
    parameter type element_t = logic[31:0]//parameter+type+类型名,等号后面是默认默认的类型
)(
    input logic[N-1:0] a, b,//输入是16位的a,b
    output logic[N-1:0] c//输出是16位的c
);
    assign c = a + b;
endmodule
   
    logic [31:0] a, b, c;
    
    adder #(.N(32), .element_t(logic [63:0])) adder_inst1(.a, .b, .c);
    //重新对N赋值为32;element_t(logic [63:0]),此时,就是32位的加法器了
       
    logic [15:0] d, e, f;
    adder adder_inst2(.a(d), .b(e), .c(f));
endmodule


module top #(
    parameter logic SIM = 1'b0//仿真时间
)(input logic clk, resetn
 );

module sim();//修改仿真时间
    top #(.SIM(1'b1)) top_inst (.clk, .resetn);
    // -DDEBUG
endmodule

parameter也可用于全局常量声明。作为一句语句,它以分号结尾。

parameter logic[5:0] OP_ADDI = 6'b000010;//便于修改

always_comb begin
    unique case(op)
        OP_ADDI: begin
            
        end
    endcase
end
  • 2
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
SystemVerilog是一种硬件描述语言(HDL),用于描述数字电路的行为和结构。它是Verilog语言的扩展,引入了许多新的特性和语法。 以下是SystemVerilog的一些基础语法: 1. 模块定义:使用`module`关键字定义模块,模块是SystemVerilog中的基本构建单元。例如: ``` module MyModule(input wire clk, input wire rst, output reg data); // 模块内部逻辑 endmodule ``` 2. 端口声明:模块的输入输出端口使用`input`和`output`关键字声明,可以使用`wire`或`reg`类型进行声明。例如: ``` input wire clk; // 输入端口 input wire rst; output reg data; // 输出端口 ``` 3. 数据类型:SystemVerilog支持多种数据类型,包括整数、浮点数、位向量、数组等。例如: ``` int a; // 整数类型 real b; // 浮点数类型 bit [7:0] c; // 8位位向量类型 reg [3:0] d; // 4位寄存器类型 int e [3:0]; // 整数数组类型 ``` 4. 运算符:SystemVerilog支持常见的运算符,包括算术运算符、逻辑运算符、位运算符等。例如: ``` a = b + c; // 加法 d = a & b; // 位与 e = (a > b) ? c : d; // 条件运算符 ``` 5. 控制语句:SystemVerilog支持常见的控制语句,如条件语句、循环语句等。例如: ``` if (a > b) begin // 执行语句 end else if (a < b) begin // 执行语句 end else begin // 执行语句 end for (int i = 0; i < 10; i++) begin // 循环体语句 end while (condition) begin // 循环体语句 end ``` 这些是SystemVerilog的一些基础语法,还有其他更高级的特性和语法可以用于描述复杂的数字电路。希望这些信息对你有帮助!如果你还有其他问题,请继续提问。
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值