(二)vivado新建项目

一、vivado新建项目

 如下图所示 Next

如下图所示  选择1>创建的文件夹路径  >> Next

 

 如下图所示

如下图所示以zynq 7020系列芯片为例 如下图 

芯片型号 :xc7z020clg400-2

  下图所示添加源文件 PROJECT MAMAGER >> seting >>  Add sources   

creat  file 

输入file name  led_twinkle为例

 以下代码为例   (Copyright(C) 正点原子 2018-2028)

module led_twinkle(
    input          sys_clk  ,  //系统时钟
    input          sys_rst_n,  //系统复位,低电平有效

    output  [1:0]  led         //LED灯
);

//reg define
reg  [25:0]  cnt ;

//*****************************************************
//**                    main code
//*****************************************************

//对计数器的值进行判断,以输出LED的状态
assign led = (cnt < 26'd2500_0000) ? 2'b01 : 2'b10 ;
//assign led = (cnt < 26'd5)         ? 2'b01 : 2'b10 ;  //仅用于仿真

//计数器在0~5000_000之间进行计数
always @ (posedge sys_clk or negedge sys_rst_n) begin
    if(!sys_rst_n)
        cnt <= 26'd0;
    else if(cnt < 26'd5000_0000)
//  else if(cnt < 26'd10)  //仅用于仿真
        cnt <= cnt + 1'b1;
    else
        cnt <= 26'd0;
end

endmodule

下图所示 ctrl + s 保存后Design Source出现 .v文件

 

RTL 分析

下图所示打开I/O Planing

下图所示保存后 生成的xdc文件

下图所示关闭RTL ANALSIS

如下图所示生成比特流

查看进度

 

连接好硬件下载

                 

二、创建新的约束文件 .XDC文件

 

 

三、添加一个已经存在的源

(记得删掉.v)

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值