Vivado调试问题总结1

1、输入信号高位高阻,低位正常
原因分析
仿真时未赋初值的情况下一般都是X(不定值)或者Z(高阻态)。
解决方法
核对testbench中的顶层仿真模块的信号与调用模块中的信号位宽是否对应找到对应
2、error:port connections cannot be mixed ordered and named
原因分析
语法错误,模块调用时最后一个信号后多了逗号
解决方法
删除多余的逗号即可
3、报错如下:在这里插入图片描述
原因分析
未将testbenche set as top
解决方法
将测试文件 set as top 即可
4、参数说明
`timescale 仿真时间单位/时间精度
5、关键报错信息如下:
INFO: [USF-XSim-2] XSim::Compile design
INFO: [USF-XSim-61] Executing ‘COMPILE and ANALYZE’ step in ‘E:/work/vivado test/test/test.sim/sim_1/behav/xsim’
“xvlog --incr --relax -prj TEST_vlog.prj”
解决方法:
1、删除工程文件夹下,*.sim文件夹下的文件后重仿真即可
在这里插入图片描述
6、调试时,verilog仿真输出与matlab仿真输出绝大多数输出正确,存在个别错误情况时。
原因分析
首先考虑输入数据是否溢出,其次考虑中间级及逻辑是否正常
解决方法
找到结果不一致的位置,判断对应软硬件输入不一致,即输入数据在硬件中存在溢出,将输入数据调整至不溢出后结果正常。(若输入一致则需按级排查)
7、testbench编写注意事项(主要是仿真输入信号的生成)
1.信号有效值在下降沿跳变
2.输入数据也在下降沿跳变
其他输入信号也类似,此种操作避免因在上升沿时跳变,导致不稳定或者歧义。

  • 3
    点赞
  • 16
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
Vivado中设置仿真时间可以通过以下步骤进行: 1. 在仿真界面中找到设置仿真时间的选项。 2. 参考中的描述,在设置界面中输入所需的仿真时间。 3. 点击确认或应用按钮,使设置生效。 需要注意的是,具体的设置步骤可能会因Vivado版本而有所不同,因此建议参考Vivado的官方文档或用户手册来获取最准确的设置步骤。另外,如果您需要将仿真波形设置为模拟波形,可以参考中提到的使用Vivado内部波形查看器或第三方仿真器的联合仿真功能。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* [读者学习过程中的一些问题总结——不定期更新](https://blog.csdn.net/ccsss22/article/details/127524783)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* *3* [ZYNQ之FPGA学习----Vivado功能仿真](https://blog.csdn.net/qq_42078934/article/details/127854408)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值