system Verilog中的include

今天仿真的时候遇到一个编译不通过的问题,问题的地方是这样的,模块A里面include一段代码(注意不是module),代码以单独.sv方式存在,
然后filelist里面我是这样写的
+incdir+/sva
sva/A.sv
sva/B.sv

下面是A的代码
module A();
`include “B.sv”
endmodule

仿真一致报B.sv有问题,然后反复检查B.sv感觉没有问题,尝试着把B的代码直接放到A里面没有问题,想半天也想不通问题出在哪,最后试了一下把filelist里面的sva/B.sv删掉,编译才通过。
猜想应该是使用include的时候,filelist里面直接使用+incdir就好了,别再把include的模块加到filelist里面

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值