verdi 单步调试

本文介绍了如何在大型SOC项目中通过在Makefile中集成vcs-debug_acc,利用Verdi进行高效单步调试,设置断点,观察变量值和波形。作者详细描述了如何设置断点,添加变量到Watch,以及跟踪变量变化的过程。
摘要由CSDN通过智能技术生成

一般我们debug 是用print 的方式定位,相对SOC大型项目效率较低,verdi 单步调试会比较快

1. 在makefile 中加入 vcs -debug_acc+all -kdb -lca   以及 。/simv -gui=verdi

2. make all 调用makefile后,直接会调起verdi

3. 可以用导航栏这两个位置的功能设置断点

4. 比如我设置断点是2110000ps位置,按快捷键F10 或者simulation->run/continue 跑到断点位置

对get_actual变量,右键,Add to Watches->Add to Watch 1。将变量添加到watch中。

因为,此时这个变量,还没有获取到值,因此值为null。

在交互式窗口输入next,或者快捷键F10,或者菜单栏 Simulation->Step/Next->Next。

执行到获取包。将包添加到watch中。

    

此时,包就不是null,而是有值了,可以查看包中各个变量的值。

此时,波形也会显示到当前仿真时刻,各个信号的波形。

  • 2
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
### 回答1: 《Verdi用户指南》是一本详细介绍使用Verdi验证工具的手册。Verdi是一种常用的硬件验证工具,广泛应用于数字电路验证领域。这本用户指南旨在帮助读者了解Verdi的基本操作和高级功能,并指导读者如何使用Verdi进行电路验证。 这本用户指南首先介绍了Verdi的基本概念和工作原理。它解释了Verdi的核心组成部分,包括信号触发器、波形显示器和报告生成器等。读者可以通过学习这些基础知识,深入了解Verdi的工作流程和功能。 接着,用户指南详细介绍了Verdi的各种功能和操作方法。它讲解了如何加载设计文件、设置断点、调试信号、查看波形和报告等。读者可以根据自己的需要选择合适的操作步骤,提高电路验证的效率和准确性。 此外,用户指南还包括了一些高级功能和技巧。例如,它介绍了如何使用Verdi进行时序分析、时钟域交叉比较和电源敏感性分析等。这些高级功能可以帮助读者更全面地了解和验证设计电路的性能。 最后,用户指南还提供了一些常见问题和解决方法,以帮助读者解决在使用Verdi过程中遇到的困惑和挑战。 总而言之,《Verdi用户指南》是一本全面而详细的手册,为读者提供了关于Verdi验证工具的详细介绍和操作指导。它适用于从初学者到资深工程师的各个层次的读者,帮助他们更好地应用Verdi进行数字电路验证。 ### 回答2: 《Verdi用户指南》是一本详细介绍了Verdi工具的使用方法和功能的指南。Verdi是一款在VCS仿真环境下用于调试和分析查看数字设计波形图的工具。 《Verdi用户指南》可以帮助用户了解Verdi的基本概念和使用方法。首先,指南会介绍如何正确配置Verdi的环境和启动Verdi工具。包括设置仿真环境变量、加载必要的库文件等等。 接着,指南会详细介绍Verdi的各个功能模块。例如,它会解释如何使用Verdi的波形查看器功能来观察设计的波形图,并且指导用户如何使用层次化视图和信号过滤器来快速定位关键信号。此外,指南还会介绍Verdi的调试功能,例如如何设置断点、观察变量的值等等。 此外,《Verdi用户指南》还会向用户展示如何使用Verdi的时间轴视图功能来分析设计波形的时序关系,以及如何使用层次化导航功能和交叉探究功能来深入了解设计的内部结构和信号传播路径。 最后,指南还会提供一些常见问题和故障排除的解决方案,以帮助用户在使用Verdi过程中遇到问题时能够快速找到解决方法。 总的来说,《Verdi用户指南》是一本非常有用的指南,可以帮助用户充分发挥Verdi工具的功能,提高数字设计的调试和分析效率。无论是初学者还是有经验的用户,都可以从中获得实用的技巧和知识。 ### 回答3: 《Verdi用户指南》是针对Verdi仿真调试工具的一个详细说明文档。Verdi是一款广泛应用于硬件设计领域的工具,它能够帮助工程师进行RTL和门级仿真、调试以及覆盖率分析等工作。 《Verdi用户指南》会详细介绍如何使用Verdi工具进行仿真调试。首先,它会介绍Verdi的安装和配置,包括如何选择适合的版本和安装步骤。然后,它会详细说明Verdi的界面和功能,包括如何打开设计文件、添加观察点、设置断点以及运行仿真等操作。 此外,《Verdi用户指南》还会介绍一些高级功能,如Verdi的调试功能和波形窗口的使用方法。它会解释如何使用Verdi的时序窗口、信号窗口和层次窗口等工具来查看设计的波形,以及如何使用观察点来监视信号值的变化。此外,它还会介绍如何使用Verdi进行覆盖率分析,以帮助工程师评估设计的测试覆盖率。 总之,《Verdi用户指南》是一本详细而全面的手册,旨在帮助工程师更好地理解和使用Verdi工具。通过熟练使用Verdi,工程师可以更高效地进行RTL和门级仿真、调试和覆盖率分析等工作,从而提高硬件设计的质量和效率。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值