iverilog仿真问题

Windows 10

使用

进入控制台

iverilog -o Ex1 Ex_1_1_sim.v Ex_1.v
vvp -n Ex1 -lxt2
gtkwave wave1.vcd

问题

  1. vvp不产生.vcd波形文件

  2. vvp一直在产生波形文件,虽然可以在另一窗口用gtkwave观看,但波形文件体积一直在增大

对于解决办法

  1. 缺少对应的veriog代码
    在仿真文件中加入下面的代码,详情见参考1
initial
begin            
    $dumpfile("wave.vcd");        //生成的vcd文件名称
    $dumpvars(0, Ex_1_1_sim);    //tb模块名称,根据自己的情况修改
end
  1. 可能是缺少finish语句
initial #0 begin
#200000 $finish;
end

参考

  • (1) https://blog.csdn.net/marvellousbinary/article/details/79842347
  • https://www.bbsmax.com/A/MyJx27Ppdn/
  • https://blog.csdn.net/weixin_43031092/article/details/106658075
  • https://verilogguide.readthedocs.io/en/latest/verilog/testbench.html
  • https://people.ece.cornell.edu/land/courses/ece5760/Verilog/LatticeTestbenchPrimer.pdf
根据引用\[1\]中的内容,使用Iverilog编译器可以进行iverilog wavetrace。具体的步骤如下: 1. 下载并安装VS Code。 2. 在VS Code中下载并安装相关插件,包括Verilog-HDL和Wavetrace。 3. 下载并配置Iverilog编译器作为Verilog的编译工具。 4. 配置编辑器的环境变量,确保编译器可以正常使用。 5. 确认iverilog可以正常使用(可忽略)。 6. 设置Verilog-HDL插件。 7. 尝试编写第一个verilog代码片段。 8. 尝试编写第一个verilog仿真代码片段。 9. 尝试测试第一个verilog代码片段。 10. 尝试使用WaveTrace插件。 11. 尝试测试第一个verilog仿真波纹图像。 根据引用\[2\]中的内容,需要配置两个环境变量,确保编译器可以正常使用。如果出现报错,请尝试重新启动电脑以使得刚添加的环境变量被系统有效识别。 根据引用\[3\]中的内容,可以使用以下命令设置Verilog-HDL插件: $env:TestBenchPath="C:\Users\lenovo\.vscode\extensions\truecrab.verilog-testbench-instance-0.0.5\out\vTbgenerator.py" 通过以上步骤和设置,你可以使用Iverilog编译器进行iverilog wavetrace。 #### 引用[.reference_title] - *1* *2* [在VSCode中配置Verilog语言环境并使用学习WaveTrace插件](https://blog.csdn.net/weixin_51927244/article/details/115683303)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [VScode搭建轻量化Verilog IDE方法](https://blog.csdn.net/HackEle/article/details/122678143)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值