iverilog仿真步骤

原文链接:https://blog.csdn.net/whik1194/article/details/103377834


通过iverilog -o wave led_demo_tb.v led_demo.v命令,对源文件和仿真文件,进行语法规则检查和编译。

如果调用了多个.v的模块,可以通过前面介绍的-y参数指定源文件的路径,否则编译报错。如果源文件都在同同一个目录,可以直接通过./绝对路径的方式来指定。

例如,led_demo_tb.v中调用了led_demo.v模块,就可以直接使用iverilog -o wave -y ./ top.v top_tb.v来进行编译

如果编译成功,会在当前目录下生成名称为wave的文件


使用vvp -n wave -lxt命令生成vcd波形文件,运行之后,会在当前目录下生成.vcd文件

如果没有生成,需要检查testbench文件中是否添加了如下几行:


initial
begin            
    $dumpfile("wave.vcd");        //生成的vcd文件名称
    $dumpvars(0, led_demo_tb);    //tb模块名称
end 


使用命令gtkwave wave.vcd,可以在图形化界面中查看仿真的波形图


如果程序使用`include语句包含了头文件路径,可以通过-i参数指定文件路径,使用方法和-y参数一样。

如:iverilog -I D:/test/demo led_demo_tb.v


参数-o
这是比较常用的一个参数了,和GCC中-o的使用几乎一样,用于指定生成文件的名称。如果不指定,默认生成文件名为a.out。如:iverilog -o test test.v


找不到调用的模块,那么就需要指定调用模块所在文件夹的路径,支持相对路径和绝对路径。

如:iverilog -y D:/test/demo led_demo_tb.v

如果是同一目录下:iverilog -y ./ led_demo_tb.v,另外,iverilog还支持Xilinx、Altera、Lattice等FPGA厂商的仿真库,需要在编译时通过-y参数指定库文件的路径

  • 0
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
根据引用\[1\]中的内容,使用Iverilog编译器可以进行iverilog wavetrace。具体的步骤如下: 1. 下载并安装VS Code。 2. 在VS Code中下载并安装相关插件,包括Verilog-HDL和Wavetrace。 3. 下载并配置Iverilog编译器作为Verilog的编译工具。 4. 配置编辑器的环境变量,确保编译器可以正常使用。 5. 确认iverilog可以正常使用(可忽略)。 6. 设置Verilog-HDL插件。 7. 尝试编写第一个verilog代码片段。 8. 尝试编写第一个verilog仿真代码片段。 9. 尝试测试第一个verilog代码片段。 10. 尝试使用WaveTrace插件。 11. 尝试测试第一个verilog仿真波纹图像。 根据引用\[2\]中的内容,需要配置两个环境变量,确保编译器可以正常使用。如果出现报错,请尝试重新启动电脑以使得刚添加的环境变量被系统有效识别。 根据引用\[3\]中的内容,可以使用以下命令设置Verilog-HDL插件: $env:TestBenchPath="C:\Users\lenovo\.vscode\extensions\truecrab.verilog-testbench-instance-0.0.5\out\vTbgenerator.py" 通过以上步骤和设置,你可以使用Iverilog编译器进行iverilog wavetrace。 #### 引用[.reference_title] - *1* *2* [在VSCode中配置Verilog语言环境并使用学习WaveTrace插件](https://blog.csdn.net/weixin_51927244/article/details/115683303)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] - *3* [VScode搭建轻量化Verilog IDE方法](https://blog.csdn.net/HackEle/article/details/122678143)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v91^insertT0,239^v3^insert_chatgpt"}} ] [.reference_item] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值