Verdi操作

1.如果窗口打乱,Windows -> Previous Layout
2.保存信号,file -> save signal,存为.rc文件;再次打开:file ->restore signal
3.标记波形,鼠标左键点一下,在需要标记处,鼠标中键再点一下
4.waveform里的信号切换进制:Waveform ->Set Radix
5.根据edge找信号:可以选择任意变化、上升沿、下降沿,也可以通过信号值查找
在这里插入图片描
6.将两个信号组合在一起:在波形上将两个信号选中,右击,Bus Operation -Creat Bus,定义新的Bus name.
7.确认一段clk里有几个上升/下降沿:两个光标框住一段clk,View ->Signal Event Report
8.选中信号,按h显示绝对路径,再按h复原
9.在code中显示信号当前的值Source ->Active Annotation

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值