verilog设计-二进制码-格雷码转换

一、原理介绍

格雷码, 是一种二进制循环码。 格雷码的特点是从一个数变为相邻的一个数时,只有一个数据位发生跳变,由于这种特点,就可以避免二进制编码计数组合电路中出现的亚稳态。格雷码常用于通信, 异步 FIFO或者 RAM 地址寻址计数器中。

二进制生成格雷码:高位保持不变,低位用高一位的值和本位做异或。

格雷码生成二进制码:二进制格雷码转换成二进制码,其法则是保留格雷码的最高位作为自然二进制码的最高位,而次高位自然二进制码为高位自然二进制码与次高位格雷码相异或,而自然二进制码的其余各位与次高位自然二进制码的求法相类似。

二、Verilog设计

module gray2bin #( parameter N = 8)
   (
   input  [N-1:0] gray,
   output [N-1:0] bin
   );

  assign bin[N-1] = gray[N-1];
  genvar i;
  generate
     for(i=N-2; i>=0; i=i-1)begin:gray_2_bin
        assign bin[i] = bin[i+1] ^ gray[i];
     end
  endgenerate
endmodule

module bin2gray #(parameter N = 8 )
   (
   input  [N-1:0] bin,
   output [N-1:0] gray
   );
  
  assign gray[N-1:0] = (bin[N-1:0] >> 1) ^ bin[N-1:0];

endmodule
 

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值