牛客网刷题记录(11)——VL35 状态机-非重叠的序列检测

在这里插入图片描述

这题没啥好说的,和之前的一样牛客网刷题记录——序列检测器,直接放代码。

`timescale 1ns/1ns

module sequence_test1(
	input wire clk  ,
	input wire rst  ,
	input wire data ,
	output reg flag
);
parameter IDLE = 5'b00001,
		  S1 = 5'b00010,
		  S2 = 5'b00100,
		  S3 = 5'b01000,
		  S4 = 5'b10000;
reg [4:0]state,next_state;

always@(posedge clk or negedge rst)
	if(~rst)
		state <= IDLE;
	else
		state <= next_state;

always@(*)
	if(~rst)
		next_state <= IDLE;
	else
		case(state)
		IDLE:next_state <= (data)?S1:IDLE;
		S1:next_state <= (~data)?S2:IDLE;
		S2:next_state <= (data)?S3:IDLE;
		S3:next_state <= (data)?S4:IDLE;
		S4:next_state <= IDLE;
		default:next_state <= IDLE;
		endcase

always@(posedge clk or negedge rst)
	if(~rst)
		flag <= 1'b0;
	else if((state==S4) && data)
		flag <= 1'b1;
	else
		flag <= 1'b0;
endmodule
  • 1
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值