【FPGA】: ip核——Fir滤波器

一、简介

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  1. FIR 滤波器之内插 FIR 滤波器Interpolated FIR Filter(k滤波器系数之间插k-1个0)
  2. FIR 滤波器之多相抽取器(Polyphase Decimator)
  3. FIR滤波器之Hilbert变换
  4. FIR 滤波器之 Hilbert Transform 的系数数据(Filter Coefficient Data)(希尔伯特变化后,实部就位I路,Q路为希尔伯特变化后的结果)在这里插入图片描述
  5. FIR 滤波器之多相插值器(Polyphase Interpolator)(相当于插N-1个x(n))

二、 ip核的端口说明

在这里插入图片描述

三、 ip核的生成

在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

在这里插入图片描述
Sample Period:采样周期/滤波器驱动时钟周期,这里采样频率和时钟频率可以不一致,ip核内部做了处理,不会影响最终输出的结果和频率的值,具体例子可以参考文献【11】
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

四、 典型示例

设计一个滤波器阶数为19,采样频率为20Mhz,截止频率5Mhz,阻带衰减为60dB的一个fir滤波器;

  1. matlab中fdatool工具的使用
    在这里插入图片描述
    12bit量化导出coe文件,如下图所示:
    在这里插入图片描述

  2. vivado中Fir ip核的使用
    (1)Filter options的设置
    在这里插入图片描述
    (2)Channel Specification的设置
    在这里插入图片描述
    (3)Channel Specification的设置
    在这里插入图片描述
    (4)其他ip核保持默认设置即可。
    在这里插入图片描述

五、结果展示

**输入:**频率为2MHZ和8MHZ的正弦波
输出:频率为2MHZ的正弦波
在这里插入图片描述

六、 参考资料

  1. 使用vivado建立FIRip核使用
  2. Vivado FIR滤波器IP核实现
  3. FPGA数字信号处理(五)Vivado FIR IP核实现
  4. Vivado Fir Ip核动态更改滤波器系数
  5. MATLAB-Vivado FIR IP核低通滤波
  6. Verilog学习笔记 (三)vivado FIR IP核设置
  7. 基于vivado的fir ip核的重采样设计与实现
  8. matlab与FPGA无线通信、FPGA数字信号处理系列(2)——Vivado调用IP核设计FIR滤波器
  9. FIR设置过采样率 matlab,Xilinx FIR IP的介绍与仿真
  10. FPGA数字信号处理(二)并行FIR滤波器Verilog设计
  11. Vivado的FIR IP核实现低通滤波器(重点留意,包含matlab仿真程序的产生
  12. 基于xilinx-IP的FIR滤波器多通道实现
  • 19
    点赞
  • 155
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值