VHDL设计一个同步置数、异步清零的D触发器

设计一个同步置数、异步清零的D触发器,其引脚名称和逻辑功能如下表所示。
在这里插入图片描述

LIBRARY ieee;
USE ieee.std_logic_1164.all;
ENTITY ddddd IS
PORT (clk, set, clr, d : IN STD_LOGIC;
q, nq : OUT STD_LOGIC);

END ddddd;
ARCHITECTURE ard OF ddddd IS
BEGIN
	PROCESS( clk,set,clr,d) is
	BEGIN
		IF clr='0' THEN
			q<='0';
			nq<='1';
		ELSE 
			IF (clk'EVENT AND clk='1') THEN
				IF  (SET='0' )THEN
					q<='1';
					nq<='0';
				ELSE
					IF d='1' THEN
						q<='1';
						nq<='0';
					ELSIF d='0' THEN
						q<='0';
						nq<='1';
					END IF;
				END IF;
			END IF;
		END IF;
	END PROCESS;
END ard;
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值