单bit信号由快到慢跨时钟域(Verilog)

代码:

module signal_clock(
	input clk_a,
	input rst_n_a,
	input signal_a,
	input clk_b,
	input rst_n_b,
	output signal_b
);
	reg state_a;
	reg state_b1;
	reg state_b2;
	reg state_b3;
	
	//将signal_a脉冲信号转化为沿信号
	always@(posedge clk_a or negedge rst_n_a)begin
		if(!rst_n_a)
			state_a <= 1'b0;
		else if(signal_a)
			state_a <= ~state_a;
		else
			state_a <= state_a;
	end
	
	//将沿信号同步到时钟域b
	always@(posedge clk_b or negedge rst_n_b)begin
		if(!rst_n_b)begin
			state_b1 <= 1'b0;
			state_b2 <= 1'b0;
			state_b3 <= 1'b0;
		end
		else begin
			state_b1 <= state_a;
			state_b2 <= state_b1;
			state_b3 <= state_b2;
		end 
	end

	//signal_b
	assign signal_b = state_b2 ^ state_b3;

endmodule

RTL视图:
在这里插入图片描述

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值