vivado报位置约束指令的critical warning

位置约束指令的critical warning:

[Common 17-55] ‘set_property’ expects at least one object.
[“F:/prj/ip_updata_prj/test_name/test_name.srcs/constrs_1/imports/xdc/top.xdc”:57]


问题描述:

top.xdc里输入这两条指令会报下图中的warning。
set_property LOC FE_X0Y3 [get_cells */DUT0/inst/FE_I]
set_property LOC FE_X0Y4 [get_cells */DUT1/inst/FE_I]

会报出下图的critical warning。说明没有找到上述约束指令里的通配符所指的路径。
在这里插入图片描述


原因分析:

提示:这里填写问题的分析:
例如:Handler 发送消息有两种方式,分别是 Handler.obtainMessage()和 Handler.sendMessage(),其中 obtainMessage 方式当数据量过大时,由于 MessageQuene 大小也有限,所以当 message 处理不及

  • 1
    点赞
  • 4
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值