SV之约束

http://bbs.eetop.cn/thread-614300-1-1.html
http://bbs.eetop.cn/thread-881862-1-1.html

systemverilog的constraint–如何约束32位数据的所有位相加为1

1、直观的方法:hwdata[0]+hwdata[1]+…+hwdata[31] == 1; 2、使用系统函数:$countones(hwdata) == 1;

constraint data_cons {
$onehot(hwdata) == 1 'b1 ;
}
或者
constraint data_cons {
$countbits(hwdata, '1) == 1 ;

二、systemverilog约束data[100]中有且只有一个1

  • 1
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值