Verilog inout 端口的使用

本文介绍一下,inout端口的verilog驱动代码。

目标:看完就可以实现驱动自由

想驱动inout端口 可以直接使用Xilinx iobuf 原语

首先补充一点,其实驱动input,output时,Vivado也会在综合时自动添加响应的buffer原语。这些原语可以稳定信号,以便FPGA内部和外部使用。--》但是对于inout端口,vivado不会自动添加buf原语,所以我们需要手动添加iobuf.

第二,对于inout 我们一般对其 设定为wire型。

                 T:reg

如何使用iobuf?

记住T==1时,io是接受其他模块的输入端口,o<=io,(简单来说T==1时,读取O端口就可以把数据从别的模块读入)

      T==0时,io是输出模块,io<=i(我们把该模块的数据通过io输出给其他模块)

  • 2
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值