问题解决 | Vivado中添加自定义IP核显示为灰色且在IP Catalog中无法找到该IP解决方法

Vivado中添加自定义IP核显示为灰色且在IP Catalog中无法找到该IP解决方法

问题描述

首先笔者新建了一个vivado工程,在编辑完自己所需要的功能模块后,在Tools选项中包装成了新的IP,然后在另外一个工程中添加该IP,但是添加完该IP后无法在 IP Catalog中搜索到该IP。
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述
在另外一个工程的 IP库 中添加之前打包好的IP。可以注意到这里该IP是灰色的。
在这里插入图片描述
如下图,在IP Catalog中可以看见有文件夹,但是没有IP。
在这里插入图片描述

问题原因以及解决方法

在查阅相关资料后,得知IP显示为灰色的原因有3个:

1.该IP被重复添加。
2.如果IP核是HLS生成的,器件需要与VIVADO工程一致。
3.打包好的IP器件型号和所添加到工程的器件不匹配。

首先笔者工程中IP是没有被重复添加的,且不是HLS创建的IP,果不其然,笔者的情况是由于原因3造成的,只需要让两边器件一致就行。

vivado中修改器件型号的方法:
①点击红框处。
在这里插入图片描述
②点击General,然后点击省略号选择器件即可。
在这里插入图片描述

修改器件后结果:
在这里插入图片描述
可以看到添加后的IP颜色变为黄色了,且可以正常在ip catalog以及block design中进行添加了。
在这里插入图片描述
以上。

参考相关

1.UG896-Vivado Design Suite 用户指南:采用 IP 进行设计
链接: https://china.xilinx.com/support/documentation/sw_manuals/xilinx2020_1/c_ug896-vivado-ip.pdf
2.Vivado添加自定义IP核为灰色的原因
链接: https://forums.xilinx.com/t5/Vivado/Vivado%E6%B7%BB%E5%8A%A0%E8%87%AA%E5%AE%9A%E4%B9%89IP%E6%A0%B8/td-p/919760

  • 10
    点赞
  • 42
    收藏
    觉得还不错? 一键收藏
  • 5
    评论
Vivado添加自定义IP核步骤如下: 1. 首先,确保你已经将自定义IP核设计完成,并且执行了合成等必要的操作。 2. 在Vivado创建新的工程。选择一个适当的文件夹和工程名称。 3. 在创建好的工程,打开“IP Catalog”窗口。通过点击“IP”菜单栏的“Open IP Catalog”或者在窗口下方的“IP”标签处使用快捷键“Ctrl + Shift + W”来打开该窗口。 4. 在“IP Catalog”窗口,选择“Repositories”下的“IP Repository”选项卡,然后点击右边的“+”按钮。 5. 在打开的窗口,浏览并选择你的自定义IP核的Xilinx格式的ip文件。点击“OK”按钮进行导入。 6. 导入完成后,你的自定义IP核将会显示在“IP Catalog”窗口的“User IP”选项卡。 7. 点击自定义IP核的图标,在右侧的“Customization Parameters”可以配置自定义IP核的参数。 8. 可以选择性地进行其他配置,例如接口的设置、时钟和复位的连接等。 9. 配置完成后,点击“OK”按钮,系统将会生成一个包含自定义IP核的例化模块。 10. 在Block Diagram等地方使用该例化模块,将其拖放至设计,并按需连接到其他设计模块。 11. 最后,完成设计后进行生成比特流文件等后续的操作。 通过以上步骤,你可以成功将自定义IP核添加Vivado,并在你的设计使用它。注意,在添加自定义IP核之前,建议先阅读Vivado的相关文档和教程,以便更好地理解和掌握该过程。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 5
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值