Quartus II实现D触发器及时序仿真

本文介绍了使用Quartus II软件通过原理图和Verilog HDL实现D触发器的过程,包括创建工程、原理图绘制、编译和时序仿真。在原理图实现中,详细讲解了D触发器的内部结构和仿真波形,而在Verilog实现部分,展示了代码编写、编译和Modelsim仿真操作。最后,对三种方法进行了对比总结。
摘要由CSDN通过智能技术生成

一、软件准备

Quartus II 13安装与使用介绍
Modelsim SE安装与介绍

二、认识D触发器

D触发器是一个具有记忆功能的,具有两个稳定状态的信息存储器件,是构成多种时序电路的最基本逻辑单元,也是数字逻辑电路中一种重要的单元电路。
因此,D触发器在数字系统和计算机中有着广泛的应用。触发器具有两个稳定状态,即"0"和"1",在一定的外界信号作用下,可以从一个稳定状态翻转到另一个稳定状态。
D触发器有集成触发器和门电路组成的触发器。触发方式有电平触发和边沿触发两种,前者在CP(时钟脉冲)=1时即可触发,后者多在CP的前沿(正跳变0→1)触发。
D触发器的次态取决于触发前D端的状态,即次态=D。因此,它具有置0、置1两种功能。
对于边沿D触发器,由于在CP=1期间电路具有维持阻塞作用,所以在CP=1期间,D端的数据状态变化,不会影响触发器的输出状态。
D触发器应用很广,可用做数字信号的寄存,移位寄存,分频和波形发生器等等。
在这里插入图片描述

方程
在这里插入图片描述

功能表
在这里插入图片描述

时序图
在这里插入图片描述

三、创建D触发器原理图并仿真

1.创建工程

File->New Project Wizard:
在这里插入图片描述

点击Next
在这里插入图片描述

设置工程的存储位置和项目名称
在这里插入图片描述

弹出对话框,选择Yes:
在这里插入图片描述

直接点击next:
在这里插入图片描述

选择目标芯片:cycloneIVE系列的EP4CE11529C7
在这里插入图片描述

EDA Tool Setting设置
在这里插入图片描述

检查项目信息,点击finish
在这里插入图片描述

此时界面上会出现顶层文件名和项目名:
在这里插入图片描述

2.新建原理图文件

打开QuartusII,选菜单“File”一“New”,在弹出的“New-”对话框中选择“ Design Files” 的原理图文件编辑输入项“Block block diagram/schematic File"按"OK"后将打开原理图编辑窗。
在这里插入图片描述

点击按纽“ Symbol Tool”或直接双击原理图空白处,从“ Symbol”窗中选择
需要的符号,或者直接在“name”文本框中键入元件名
在这里插入图片描述

在这里插入图片描述

4 个 nand2 与非门

  • 6
    点赞
  • 25
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值