Xilinx FPGA数字信号处理系统设计指南学习笔记——五 模数转换器及量化效应二

一、LSB、MSB与SNR

LSB:Least significant Bit,二进制数中最低有效位;

MSB:Most significant Bit,二进制数中最高有效位;

SNR:Signal to Interference plus Noise Ratio,信噪比;

VFS:满量程电压。

LSB=VFS/2^N

这里以满量程10V的12位ADC为例计算:

LSB=VFS/2^N=+-1.22V

该ADC精度为+-1.22V。

二、零电平量化方法

1.ADC可能有也可能没有零输出电平。

在此我们使用一个中间水平和一个中间升高的3位电平转换器为例,研究其中间有无零电平输出对模拟信号数字化的影响。

纠正,图内红字应为无零电平输出。

如图,对于一个位数很少的ADC,量化一个幅度很小的正弦波,在考虑噪声时,如其幅值为q/10,则输入到中间升高ADC后输出为000,输入到中间水平ADC后输出为000和111。

即使用中间水平型ADC时,在零电平量化时,ADC一个模拟输入对应了两个数字输出,这将造成ADC误差。

三、量化误差及其计算

实际上可以使用一个采样器加一个量化器进行ADC等效。

一个线性ADC步长为qV,则采样误差最大q/2V。q=Vmax/2^(N-1);ADC等效如下图:

此时的ADC等效图还未添加量化误差。

添加量化误差后我们可以看见ADC等效成了一个开环系统,量化噪声看似与输出无关,笔者各人认为ADC大概率应该是一个闭环系统,即系统对输出信号有检测调控的能力,察觉到输出不符合预期后会调整量化误差e(n)的值来调整输出。具体情况是否如笔者猜想,还需继续学习。

  • 5
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
Xilinx FPGA数字信号处理系统设计指南是一本关于数字信号处理系统设计指南,主要针对使用Xilinx FPGA器件的设计师和工程师。该指南提供了从概念到实施的全面指导,帮助设计师优化FPGA的性能和资源利用率。 在这本指南中,首先介绍了数字信号处理(DSP)的基本概念和原理,包括数字信号的采样、量化和处理方法。接着,说明了为什么使用FPGA作为DSP系统的硬件平台,以及使用Xilinx器件的优势。这些优势包括高性能、低功耗、灵活性和可重构性等。 然后,该指南详细介绍了使用Xilinx FPGA进行DSP系统设计的步骤,并提供了各个步骤的建议和技巧。例如,如何选择适当的FPGA器件、如何进行资源分配与优化、如何设计高性能的算法和架构等等。 此外,该指南还涵盖了一些常见的DSP应用案例,包括语音处理、图像处理、视频处理等。对于每个应用案例,都提供了相应的设计指导和建议,帮助设计师快速实现各种DSP功能。 最后,该指南还介绍了一些与DSP系统设计相关的工具和资源,例如Xilinx提供的开发工具、IP核、仿真和调试方法等。这些工具和资源可以帮助设计师更加高效地进行DSP系统设计开发。 总的来说,Xilinx FPGA数字信号处理系统设计指南设计师提供了全面的指导和参考,帮助他们更好地利用Xilinx FPGA器件来实现高性能、低功耗的数字信号处理系统
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

钱多多小姐

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值