Vivado报错 [Synth 8-9917] port ‘xx‘ must not be declared to be an array

报错位置:

	input 	  	wire    [11:0]	i_data	[7:0]			,

报错原因:
Verilog并不支持将端口设置为数组类型,而SystemVerilog支持此写法。

解决办法:

  1. 将文件后缀.v改成.sv格式;

  2. 此时工程中文件确实,修正修改格式的文件点击右键Replace File进行替换;
    在这里插入图片描述

  3. 文件格式选择所有文件,选择需要替换的sv文件,点击OK;
    在这里插入图片描述

  4. 再次点击文件,在下方的Source File Properties页面将格式设置成SystemVerilog即可。
    在这里插入图片描述

  • 4
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值