FPGA-奇分频

-----------------------------------------------------------------------------1、分频的方法---------------------------------------------------------------------------------------------------------------------

  • 奇分频,这里以5分频为例进行记录
  • 波形绘制
  • RTL代码
    module divide_five(
    	input wire sys_clk,
    	input wire sys_rst_n,
    	output wire clk_out
    );
    
    reg [2:0] cnt;
    reg clk1;
    reg clk2;
    
    always@(posedge sys_clk or negedge sys_rst_n)
    	if(!sys_rst_n)
    		cnt <= 3'd0;
    	else if(cnt == 3'd4)
    		cnt <= 3'd0;
    	else
    		cnt <= cnt + 3'd1;
    
    always@(posedge sys_clk or negedge sys_rst_n)
    	if(!sys_rst_n)
    		clk1 <= 1'b0;
    	else if(cnt == 3'd2)
    		clk1 <= 1'b1;
    	else if(cnt == 3'd4)
    		clk1 <= 1'b0;
    	else
    		clk1 <= clk1;
    
    always@(negedge sys_clk or negedge sys_rst_n)
    	if(!sys_rst_n)
    		clk2 <= 1'b0;
    	else if(cnt == 3'd2)
    		clk2 <= 1'b1;
    	else if(cnt == 3'd4)
    		clk2 <= 1'b0;
    	else
    		clk2 <= clk2;
    		
    assign clk_out = clk1 |clk2;
    
    endmodule
  • 仿真代码
    `timescale 1ns/1ns
     
    module devide_five_tb();
     
     
    reg sys_clk;
    reg sys_rst_n;
     
    wire clk_out;
     
    initial 
    	begin
    	sys_clk = 1'b1;
    	sys_rst_n <= 1'b0;
    	#20
    	sys_rst_n <= 1'b1;
    	end
     
    always #10 sys_clk = ~sys_clk;
     
    module devide_five(
    	.sys_clk(sys_clk),
    	.sys_rst_n(sys_rst_n),
    	.clk_out(clk_out)
    );
     
    endmodule
  • 仿真结果

-----------------------------------------------------------------------------2、降频的方法--------------------------------------------------------------------------------------------------------------------

  • 波形绘制
  • RTL代码
    module divide_five(
    	input wire sys_clk,
    	input wire sys_rst_n,
    	output wire cnt_flag
    );
    
    reg [2:0] cnt;
    reg cnt_flag;
    
    always@(posedge sys_clk or negedge sys_rst_n)
    	if(!sys_rst_n)
    		cnt <= 3'd0;
    	else if(cnt == 3'd4)
    		cnt <= 3'd0;
    	else
    		cnt <= cnt + 3'd1;
    
    always@(posedge sys_clk or negedge sys_rst_n)
    	if(!sys_rst_n)
    		cnt_flag <= 1'b0;
    	else if(cnt == 3'd3)
    		cnt_flag <= 1'b1;
    	else
    		cnt_flag <= 1'b0;
    
    endmodule
  • 仿真代码
  • `timescale 1ns/1ns
     
    module devide_five_tb();
     
     
    reg sys_clk;
    reg sys_rst_n;
     
    wire clk_flag;
     
    initial 
    	begin
    	sys_clk = 1'b1;
    	sys_rst_n <= 1'b0;
    	#20
    	sys_rst_n <= 1'b1;
    	end
     
    always #10 sys_clk = ~sys_clk;
     
    module devide_five(
    	.sys_clk(sys_clk),
    	.sys_rst_n(sys_rst_n),
    	.clk_flag(clk_flag)
    );
     
    endmodule
  •  仿真波形
  • 20
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值