【CDC跨时钟域】多bit_握手

【verilog】CDC跨时钟域处理–多bit信号传输–握手

说明:进行多bit数据跨时钟域处理的步骤:
①clk_a与clk_b时钟域请求信号req的产生:
-产生clk_a时钟域使能信号的下降沿a_en_neg,并将其寄存作为clk_a信号有效的请求信号a_req。但因为当clk_a的应答信号ack_pos有效时,其请求信号无效,所以在将a_en_neg寄存前需要判断此时应答信号ack_pos是否有效(即给D触发器加CE信号),如果有效,则请求信号a_req为0,如果无效,则a_req即为a_en_neg的值。见下图
在这里插入图片描述
②data_a_in数据的传输:
对clk_a的请求信号进行跨时钟域处理(打拍),并检测请求信号的上升沿,如果此时为上升沿,则表示clk_b接收到clk_a的有效请求信号,此时可进行数据的传递。如下图
在这里插入图片描述
③应答信号ack的产生:
当clk_b时钟域接收到clk_a的请求信号后,即产生应答信号,并将此应答信号同步至clk_a时钟域,反压clk_a时钟域数据的传输。如下图
在这里插入图片描述
整体代码如下:

module woshou(
    input clka,
    input clkb,
    input rst_n,
    input a_en,
    input [7:0] data_a_in,
 
    output reg [7:0] data_b_out,
    output b_en,
    output ack_a //应答信号
    );
 
    reg a_en_d1,a_en_d2;
    wire a_en_neg;
    
    reg a_req;//clk_a时钟域的请求信号
    reg req_d1,req_d2;//clk_b时钟域的请求信号,由clk_a时钟域的请求信号打拍产生
    
    reg ack_d1,ack_d2;//将clk_b的req_d2作为clk_b的应答信号并同步到clk_a作为clk_a的应答信号
    wire ack_pos;//clk_a的应答信号有效,此时clk_a的请求信号无效

//产生a_en下降沿 
    always@(posedge clka or negedge rst_n)begin
        if(!rst_n)begin
            a_en_d1 <= 1'b0;
            a_en_d2 <= 1'b0;
        end
        else begin
            a_en_d1 <= a_en;
            a_en_d2 <= a_en_d1;
        end
    end
 
    assign a_en_neg = a_en_d2 && (~a_en_d1);
 
 //产生clk_a的请求信号a_req
    always@(posedge clka or negedge rst_n)begin
        if(!rst_n)
            a_req <= 1'b0;
        else if(a_en_neg)
            a_req <= 1'b1;
        else if(ack_pos)
            a_req <= 1'b0;
    end
 
 //将clk_a的请求信号同步到clk_b
    always@(posedge clkb or negedge rst_n)begin
        if(!rst_n)begin
            req_d1 <= 1'b0;
            req_d2 <= 1'b0;
        end
        else begin
            req_d1 <= a_req;
            req_d2 <= req_d1;
        end
    end

//产生应答信号 
    always@(posedge clka or negedge rst_n)begin
        if(!rst_n)begin
            ack_d1 <= 1'b0;
            ack_d2 <= 1'b0;
        end
        else begin
            ack_d1 <= req_d2;
            ack_d2 <= ack_d1;
        end
    end
 
    assign b_en = (~req_d2) && (req_d1);
    
    assign ack_pos = (~ack_d2) && ack_d1;
 
    assign ack_a = ack_d2;
 
 //数据传输
    always@(posedge clkb or negedge rst_n)begin
        if(!rst_n)
            data_b_out <= 8'd0;
        else if(b_en)
            data_b_out <= data_a_in;
    end 
endmodule

整体电路图
在这里插入图片描述

波形
在这里插入图片描述

  • 1
    点赞
  • 21
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
在处理慢时钟域到快时钟域的多bit信号跨时钟域问题时,有几种方法可以选择。其中一个常用的方法是使用同步器和边沿检测。 首先,使用同步器来将慢时钟域的信号转换为快时钟域的信号。同步器可以确保在快时钟域中正确采样到慢时钟域的信号。对于允许采样丢失的情况,只需要简单地使用同步器即可。 然而,对于不允许采样丢失的情况,可以采用两种方法来解决。第一种方法是信号展宽边沿检测,这意味着在慢时钟域的信号之前或之后添加一些额外的延时来确保在快时钟域中能够正确检测到边沿。这种方法相对简单,但可能会引入一定的延迟。 第二种方法是使用握手。在这种情况下,慢时钟域的信号在传输到快时钟域之前,需要进行握手操作以确保数据的正确性。然而,握手操作可能会消耗较大的资源,因此一般情况下不常使用。 综上所述,针对慢时钟域到快时钟域的多bit信号跨时钟域处理,常见的方法包括使用同步器和边沿检测。同步器可以将慢时钟域的信号转换为快时钟域的信号,而边沿检测则可以在慢时钟域的信号前后添加延时以保证在快时钟域中能够正确检测到边沿。这些方法可以根据具体的应用需求选择使用。<span class="em">1</span><span class="em">2</span><span class="em">3</span> #### 引用[.reference_title] - *1* *3* [【CDC跨时钟域信号处理】单bit_快时钟域到慢时钟域](https://blog.csdn.net/weixin_50952710/article/details/128204972)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] - *2* [【CDC跨时钟域信号处理】单bit_慢时钟域到快时钟域](https://blog.csdn.net/weixin_50952710/article/details/128139489)[target="_blank" data-report-click={"spm":"1018.2226.3001.9630","extra":{"utm_source":"vip_chatgpt_common_search_pc_result","utm_medium":"distribute.pc_search_result.none-task-cask-2~all~insert_cask~default-1-null.142^v93^chatsearchT3_2"}}] [.reference_item style="max-width: 50%"] [ .reference_list ]
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值