ZYNQ_在线逻辑分析(内部硬件调试)

ILA使用介绍

在vivado中在线逻辑分析仪的功能被称为“Integrated Logic Analyzer ILA”它以IP核的形式加入到用户设计中,下面是三种不同集成层次的插入ILA方法,:

1,

        第一种方法是直接在HDL代码中例化一个 ILA IP 核,也被称为“HDL 实例化       调试探针流程”,这是

集成层次最高的方法。ILA IP 核可以在 IP Catalog(IP 目录)中找到,并对其进行配置,以符合所需的调试 需求。这是最直接的方法,但其灵活性也较差。在调试工作完毕之后,还需要在HDL 源代码中删除 ILA IP 核,然后重新综合并实现,以生成最终的比特流。

2,

第二种方法是在综合后的网表中,分别标记要进行调试观察的各个信号,然后通过一个简单的         “Setup Debug”向导来设置各个探针和 ILA IP 核的工作参数,然后工具会根据用户设置的参数,自动地生成各个ILA IP 核。这个方法也被称为“网表插入调试探针流程”。在此流程中,用户不需要修改HDL 源代码,并 且能够单独控制每个 ILA IP 核以及每个探针,这样就提供了很大的灵活性。用户设置的调试信息会以Tcl XDC调试命令的形式保存到XDC约束文件中,在实现阶段,Vivado 会读取这些XDC 调试命令,并在布局 布线时加入这些 ILA IP 核。在调试工作完毕之后,用户就可以在综合后的网表中删除 ILA IP 核,或者在 XDC文件中删除调试命令,然后再对设计进行实现,以生成最终的比特流。

3,

第三种方法是手动地在XDC 约束文件中书写对应的Tcl XDC 调试命令,在实现阶段工具会自动读取这些命令,并在布局布线时加入这些 ILA IP 核。在调试工作完毕之后,用户还需要在XDC 约束文件中删除这 些命令,然后实现最终的设计。这种方法集成层次最低,一般不会使用这种方法。

更多人选择第二种方法:

1,在需要分析的信号前面添加    (* mark_debug = “true” *)   防止vivado软件优化(缩减信号位宽)例如

2,综合完成之后打开 Open Synthesized Design 按钮

右上角切换到debug

点击左边的 Schematic  打开原理图

在Netlist中选择想要观察的信号右键点击 markdebug,这样信号就会出现在下面的框里

点击 setup debug按钮,并一路点击ok。保存后  .xdc文件中就会自动生成新的约束代码

正常生成比特流下载到板子上就可以开始运行且仿真了

  • 3
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值