Verilog中阻塞赋值与非阻塞赋值的区别

文章介绍了在Verilog编程中,阻塞赋值(=)和非阻塞赋值(<=)的区别,特别是在时序逻辑中的应用。在组合逻辑中两者等效,但在always语句中应避免混用。时序逻辑中推荐使用非阻塞赋值,因为它形成级联电路,而阻塞赋值可能导致寄存器被优化掉。建议assign语句中使用阻塞赋值,在always语句中使用非阻塞赋值。
摘要由CSDN通过智能技术生成

        最近有初学者会问阻塞(=)和非阻塞(<=)到底是有什么区别?

         可能确实有很多的文档对这两种语法的定义展开性讲的已经很天花乱坠,但是对于刚刚学习Verilog语法的小伙伴来说,确实有些绕,这边向大家总结一下个人对这两种赋值的一些简单想法。

        1. 在组合逻辑中,“=” 与 “<=”是完全相同的,但是assign赋值建议zhineng)使用阻塞赋值(“=”);

        2.在always语句中,同一信号不建议一会使用“=”、一会使用“<=”;

        3.在时序逻辑中,建议统一使用非阻塞赋值的方式进行电路的设计。

        这里主要以第三条时序逻辑给大家分析一下阻塞和非阻塞情况下的RTL视图:

  

module test(
    input           i_sys_clk,
    input           signal_in,
    
    output          out_reg
    );
    
    
reg r_d1, r_d2, r_d3;    
 
assign  out_reg = r_d3;
    
always@(posedge i_sys_clk)
begin    
    r_d1 <= signal_in   ;
    r_d2 <= r_d1        ;
    r_d3 <= r_d2        ;
end
    
endmodule

  首先是在时序逻辑电路中,使用非租塞赋值综合前和综合后生成的RTL视图

        

        就以综合后的RTL视图可以看出,非租塞赋值的代码为一个级联电路,非阻塞赋值的左边为下一级的输出。我们再把代码改为阻塞赋值,看一下综合前与综合后的RTL视图:

module test(
    input           i_sys_clk,
    input           signal_in,
    
    output          out_reg
    );
    
    
reg r_d1, r_d2, r_d3;    
 
assign  out_reg = r_d3;
    
always@(posedge i_sys_clk)
begin    
    r_d1 = signal_in   ;
    r_d2 = r_d1        ;
    r_d3 = r_d2        ;
end
    
endmodul

 

 

         其实,根据RTL视图结合代码我们可以猜测到,为什么使用阻塞赋值后,我们的电路就没有了级联关系了。因为使用阻塞赋值,相当于只是将输入信号的值赋值给了最好的r_d3,前面的r_d1和r_d2只是相当于一个缓冲器并没要参与级联关系,所以RTL给我们优化掉了另外两个寄存器。

总结:

        1. 在assign语句中推荐大家使用阻塞赋值也就是我们的“=”;

        2.在always语句中建议大家使用非阻塞赋值也就是“<=”;

        3.特别是在时序逻辑电路中一定要使用非阻塞赋值“<=”,延迟一个时钟周期。

        当然这只是我个人目前学习FPGA的一些个人理解,希望对大家有些帮助。

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

伊藤诚诚诚诚

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值