IC学习笔记:03.ASIC功能验证SVTB+验证计划和验证环境

1、 绘制SystemVerilog testbench结构图。并解释每个部分的功能。
答:SystemVerilog testbench结构图如下
在这里插入图片描述

①产生激励:通过simulated块产生
②驱动激励:通过instance的port性连接到RTL的input上去
③采样响应:放在实例化的out,采样变量
④检查正确性:写参考模型对应
⑤根据验证目标评估验证进度
2、 解释pin max的含义。
答:通常pin mux就是pad,也就是引出的线,必要的信息引入
①pad是Passivation opening,pad在芯片内部。ic内部的net要引到ic的外部做封装,但因为线的宽度太细,不能承受焊接的压力,就需要先连接到一块大的金属块,以大金属块作为支撑,这个承受压力的大金属块就是pad。
②pin是封装fram上的引线,内部管脚的称呼。Pin 是和net 链接的物理连接点,比如Design 中内部cell 和net 链接的那一块小金属。
3、 如何划分验证功能点。
答:(1)关键功能:设计必须会使用的功能√
(2)次要功能
A、针对“流片”而言,非关键功能:√
①与性能相关的功能;
②下一个版本可以实现的功能;
③软件可以实现的功能。
B、下一个验证层次中,非关键功能:√
①可以在不同层次,可以并行验证的功能
②边角条件情况
(3)通用功能
①正常运行过程中不会发生的操作√
②系统复位和时钟的生成√
③错误处理√
④系统调试√
(4)本层次不需要验证的功能
①在逻辑仿真过程中,可以在较低层次的验证,也可以在较高层次的验证;√
②在该层次上不使用的功能。√
4、 绘制验证的详细分层图,并解释每层的功能,分层的优势和劣势。
答:(1)分层图如图所示

(2)每一层的含义
信号层(signal layer):DUV于TB的连接(interface)
命令层(command layer):
①驱动器(drive):将命令如send(),read(),write(),转换成信号,驱动到DUV
②接收器(receiver):将DUV的输出信号转换成命令
③编写断言(assertions):断言可以基于时钟周期的系统行为进行建模
功能层(functional layer)
①将事务级信息(transaction):转换成命令驱动到DUV,比如DMA read operation
②代理器(Agent):暂存事务级信息,按照一定的顺序发送的这些信息
③检查器(checker):接收DUV的输出数据,并与期望的结果进行对比
④计分板(scoreboard):将比较结果反馈在计分板中
应用层(scenario layer)
①生成器(generator):生成期望的数据、定向测试、带约束随机测试
测试层(test)

  • 1
    点赞
  • 2
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
基于 SystemVerilog (SV) 和 Universal Verification Methodology (UVM) 搭建 SoC/ASIC 验证平台是一种常见的做法,在这个过程中,我们可以利用这两种强大的验证工具来实现高效、可靠的验证流程。搭建这样的平台需要按照一定的设计原则和流程来进行,同时也需要一定的经验和技巧。 首先,我们需要明确验证平台的需求和目标,包括要验证功能和模块,验证的覆盖率要求,以及验证的时间和资源限制等。然后,我们可以按照这些需求来进行验证环境的规划和设计,包括建立验证环境的分层结构,选择合适的模块和接口来搭建,以及定义好各个模块的功能和接口协议等。 在搭建的过程中,我们可以利用 UVM 的各种特性来实现验证环境的各个模块,包括利用 UVM 的 transaction、sequence、driver、monitor 等各种类别的基本组件来实现模块的功能,并利用 UVM 的配置、报告、分析等功能来实现验证环境的控制和管理。 最后,我们还需要对搭建的验证平台进行验证,包括对验证环境功能、接口、交互等方面进行验证,并对验证结果进行分析和报告,以确保验证平台可以满足设计的需求和目标。 总的来说,基于 SV 和 UVM 搭建 SoC/ASIC 验证平台需要遵循一定的设计原则和流程,而且也需要一定的经验和技巧来进行。通过这样的验证平台,我们可以实现高效、可靠的 SoC/ASIC 验证流程,从而提高验证的效率和质量。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值