svtb编写

  • 验证平台的编写:

  • interface

    • 不用管DUT的功能是什么,只需要知道接口是什么,方向,以及这些接口的规格;
      1. 开头interface  <接口名>(<这里一般将时钟信号单独声明>);
      2. 将所有的端口变量声明为logic型,位也一起声明;
      3. clocking cb @(<敏感边沿,一般为posedge><时钟信号>);
        1. 可选(声明clock skew):default input #1unit output #1unit;
        2. 将端口信号分出方向(该输入与输出和DUT的端口方向相反);
      4. endclocking
      5. modport <简名> (input <端口变量名> , output <端口变量名>);
      6. endinterface
  • testcase

    • 用program进行声明
      1. program automatic  <测试案例名>(<接口名>.<modport简名> <实例化名>);
      2. initial begin
        • 仿真内容,调用函数;
      3. end
      4. task与function定义;
      5. endprogram
  • test_top

    • module DUT_test_top;
      • 定义仿真时间:例:parameter simulation_sycle = 100;
      • 定义系统时间:例:bit systemclock;
      • 接口与top模块相连接:例:<接口名>  top例化名(systemclock);
      • 测试案例与top相连: 例:<测试模块名>  <测试模块例化名>(top例化名);
      • DUT与接口相连:   例:<DUT名>  <DUT例化名>(.<DUT信号名>(<top例化名>.)<接口信号名>);
      • initial begin
        • 定义仿真周期;
      • end
    • endmodule
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值