华农FPGA实验_实验六 利用宏单元设计完成正弦信号发生器的设计

一 实验目的

1、熟悉利用Quartus II 9.0 软件开发数字电路的基本流程以及熟悉Quartus II软件的操作。

2、了解使用VHDL语言和原理图设计进行HDL描述的实现方法。

3、了解宏单元设计的原理和实现方案。

4、掌握ROM类别宏单元的基本设计思路,了解宏单元设计的软件环境参数配置,完成正弦信号发生器设计的时序仿真,管脚分配,并且利用JTAG接口进行下载的常规设计流程。

5、掌握使用SIGNALTAP II进行硬件采样的具体过程。

二 实验前的准备

1、将红色的MODUL_SEL拨码开关组合的1、2、8拨上,3、4、5、6、7拨下,使数码管显示当前模式为:C1.

2、检查JTAG TO USB转换接口和USB连接线的连接,并且将JTAG线连接到核心板上的JTAG接口(核心板的第二个十针的插口)处。

三 实验要求

掌握宏单元设计的原理,实现ROM类别宏单元的电路设计方案。

四 实验内容

(一)根据正弦信号发生器顶层设计建立电路设计方案

1、建立工程SIN_GNT,并按照正弦信号发生器顶层设计原理图,建立顶层文件SIN_GNT。

2、采用MIF文件格式,建立存储器初始化文件DATA7X8.mif(附录3),然后按照宏单元设置程序完成ROM单元的调用,并生成符号文件ROM78.sym放于工程目录下。

3、调用7位计数器宏单元,调用ROM78存储器宏单元,参照顶层设计原理图,完成电路设计,在实验报告中给出顶层文件的文本描述和RTL原理图。

(二)对正弦信号发生器进行仿真和硬件信号验证

1、对正弦信号发生器的VHDL设计方案进行时序仿真,在实验报告中给出时序仿真波形。

2、将正弦信号发生器的VHDL设计方案下载到FPGA中,利用SIGNALTAP II 采集硬件数据,将SIGNALTAP II波形显示数据图与课本P209图6-41进行对比,并在实验报告中说明两者的异同。

(二)正弦信号发生器信号验证(选做)

  1. 硬件信号的验证,可参看SOPC的并行高速DAC和并行高速ADC实验中的连接,引脚连接见附录1。可将数字信号转换为模拟信号输出。
  2. 经DA转换的信号可通过连线输出到示波器上观察信号。

设计电路仿真与分析

(1)利用宏单元设计正弦信号发生器代码截图

ROM78宏单元模块代码

ROM78代码截图(1)

ROM78代码截图(2)

ROM78代码截图(3)

CNT7B宏单元模块代码

CNT7B代码截图(1)

CNT7B代码截图(2)

CNT7B代码截图(3)

(2)利用宏单元设计正弦信号发生器的RTL电路

利用宏单元设计正弦信号发生器的RTL电路

宏单元模块RTL电路分析:

信号输入:CLK信号充当正弦信号发生器的时钟信号输入,为CNT7B和ROM78共用;EN的作用是使能输入,当EN=1时CNT7B允许运行;RST是复位输入。

CNT7B模块:CNT7B是七位计数器宏单元,实现计数功能。

ROM78.inst:通过调用宏单元管理器生成,最后在Q端输出正弦信号。

(3)仿真结果分析

(4)Signal TAP II运行结果分析

分析:由CNT7B生成了锯齿波信号(AR),经过ROM78处理后,产生正弦波信号,三角波的周期等于产生的正弦波的周期。由此分析,利用宏单元设计完成的正弦信号发生器设计是成功的。

课本正弦信号发生器Singnal Tap II波形图

与课本图对比异同:通过仔细比较可知,实际除了图片放大倍数不一样,其他的基本没差别。说明正弦信号发生器的设计是成功的。

(5)硬件运行拍照

实验结论

由仿真试验可知:

(1)设计功能正确,实现了正弦信号发生器的设计;

(2)由仿真结果图可知,随着每一个时钟上升沿的到来,输出端口将正弦波的数据依次输出,将数据以波形形式展出就是正波形信号,有些许毛刺。

(3)通过调用宏单元管理器生成指定的DATA7X8.mif文件,加深了对调用宏单元的了解,再经过调用7位计数器宏单元,进行连接之后,组合成正弦信号发生器,经过时序仿真与后,输出波形经对比后,均一一对应,没有误差。

由硬件测试可知

(1)硬件测试成功,成功绑定了对应端口。

(2)从Signal Tap II结果观察得知,可以实现计数,完成了实现了对应电路的设计。

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值