fpga/嵌入式硬件初级工程师必备链接(不定时更新)

14 篇文章 8 订阅
3 篇文章 1 订阅

因为刚开始做FPGA这一块,工作不久,把遇到的好一些的资料整理下来,不定时更新,方便自己查看的同时,希望能给大家带来帮助,如果觉得有用的话请给个赞,谢谢。
1、工具使用类:
01、示波器使用方法:
https://wenku.baidu.com/view/ed1d50276ad97f192279168884868762caaebb01.html

02、ISE中ChipScope使用教程
https://www.cnblogs.com/liujinggang/p/9813863.html

03、各种版本的quartues(包含了Quartus II 6.1、Quartus II 8.0、Quartus II 9.0、Quartus II 9.1、Quartus II 11.0、Quartus II 13.0、Quartus II 14.0、 Quartus II 15.0 可满足大部分对此软件的安装需求)
链接: 复制这段内容后打开百度网盘手机App,操作更方便哦
链接:https://pan.baidu.com/s/1lU0E3vqLKh2WybyOtrDJYA 提取码:5U70

04、Vivado的license
https://blog.csdn.net/weiyunguan8611/article/details/100679182

05、各种版本的AD画板软件,以及完成的原理图和封装库
https://download.csdn.net/download/weiyunguan8611/11826429

06、各种版本的pads画板软件,win10兼容亲测可用
https://download.csdn.net/download/weiyunguan8611/11826431

07、于争 博士 cadence视频教程
https://download.csdn.net/download/weiyunguan8611/11826432

08、modelsim10.6
链接:复制这段内容后打开百度网盘手机App,操作更方便哦
链接:https://pan.baidu.com/s/1u0kcFaWPssCNxIx0u42Oew 提取码:e000

09、非常齐全的CAD和CAD库
https://download.csdn.net/download/weiyunguan8611/11826443

10、vivado使用技巧,一共34讲,可以看一下
https://blog.csdn.net/bleauchat/article/details/86155410

11、思维导图制作工具,还有在线版本
Xmind官网地址: https://www.xmind.cn
ProcessOn在线作图地址:https://www.processon.com

12、xilinx的vitis的安装包
链接:复制这段内容后打开百度网盘手机App,操作更方便哦
链接:https://pan.baidu.com/s/1QzbyMverw9fzYiJGDSdCmA 提取码:dDC4

13、如何安装WinDriver—linux 系统下和Windows系统下———官网中文教程
https://blog.csdn.net/weiyunguan8611/article/details/104747955

14、用来查找visio模具图片素材(千库网)
https://588ku.com/

15、linux系统下questasim 10.7安装步骤
https://blog.csdn.net/weiyunguan8611/article/details/105158829

16、questasim 10.7安装包
复制这段内容后打开百度网盘手机App,操作更方便哦
链接:https://pan.baidu.com/s/14N1TFd48p7dkcFmxLQk-Eg 提取码:GR6h

17 、利用modelsim直接添加库文件并进行仿真
https://blog.csdn.net/weiyunguan8611/article/details/107368549

18、波形仿真Debussy,比modelsim更方便使用,这里提供使用方法,脚本调用我后续会提供详细使用说明
https://blog.csdn.net/mochenbaobei/article/details/88139643
debussy和modelsim联合调试的步骤
https://blog.csdn.net/heuhuirnh/article/details/80798241

19、波形绘制软件:time_gen使用方法,比visio更专业和方便
https://www.cnblogs.com/lilyhappygirl/p/11184470.html

20、notepad++ 增加代码片段
https://www.jianshu.com/p/e5cb16c96667

2、接口协议类
01、spi时序程序和解析:
https://www.cnblogs.com/liujinggang/p/9609739.html/

02、RapidIO:
https://www.cnblogs.com/liujinggang/p/9925859.html

03、IIC总线的原理与Verilog实现:
https://www.cnblogs.com/liujinggang/p/9656358.html/

04、VGA接口原理与Verilog实现:
https://www.cnblogs.com/liujinggang/p/9690504.html

https://blog.csdn.net/qq_38428056/article/details/85119282?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159222063319725211901788%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=159222063319725211901788&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allsobaiduend~default-2-85119282.ecpm_v1_rank_ctr_v2&utm_term=fpga+vga

05、UART串口收发的原理与Verilog实现:
https://www.cnblogs.com/liujinggang/p/9535366.html

06、APB协议
https://blog.csdn.net/zhoutaopower/article/details/103729440?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159884081719195264520627%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fall.%2522%257D&request_id=159884081719195264520627&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_ecpm_v3~pc_rank_v4-3-103729440.first_rank_ecpm_v3_pc_rank_v4&utm_term=apb协议中的ready信号&spm=1018.2118.3001.4187

apb协议有代码
https://blog.csdn.net/bleauchat/article/details/96600017?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159859085819195188359058%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=159859085819195188359058&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog2allfirst_rank_ecpm_v3~pc_rank_v4396600017.first_rank_ecpm_v3_pc_rank_v4&utm_term=apb总线协议&spm=1018.2118.3001.4187

3、IP核类
01、FFT核
https://blog.csdn.net/weiyunguan8611/article/details/100049391

02、DDR3的MIG核(强烈推荐那五篇PDF,大神总结的相当详细,看完基本流程就ok的)
ise中文五篇文档,从仿真到调试讲解很详细:
https://download.csdn.net/download/weiyunguan8611/11955968
vivado中文五篇文档
https://download.csdn.net/download/weiyunguan8611/12228869
此链接为vivado中IP的引脚配置及详细说明:
https://blog.csdn.net/yt15751004322/article/details/98061132
核心控制器的代码(良心博主)
https://www.cnblogs.com/sepeng/p/6829504.html
测试代码
https://blog.csdn.net/SLAM_masterFei/article/details/101344855
vivado2016.2下系统自带DDR3 ip例程仿真运行
https://blog.csdn.net/zxbdlv/article/details/61195224
DDR3中的时钟解析
https://blog.csdn.net/hxs13551803230/article/details/81539238
https://blog.csdn.net/mshgocn/article/details/83861872
DDR3移植程axi接口
https://www.cnblogs.com/sepeng/p/6898288.html
axi协议
https://zhuanlan.zhihu.com/p/96804919

03、pcie的学习(这玩意难度有点大,我会尽量把所收集的资料连接贡献出来)
知乎文章,看完以后收货很多
https://zhuanlan.zhihu.com/p/32786076

04、auraro的简单理解
https://blog.csdn.net/wenjia7803/article/details/106323301
auraro的ip
https://so.csdn.net/so/search/s.do?q=aurora&t=blog&u=Reborn_Lee

4、书籍类
01、《MATLAB GUI设计学习手记(第2版)》罗华飞
02、Xilinx FPGA 权威书籍指南 18版本集成开发环境(这个版本都是要花钱的哦)
03、Z01718Xilinx_FPGA设计权威指南_Vivado集成设计环境
04、Xilinx FPGA设计权威指南2014集成开发环境Vivado
05、Xilinx FPGA开发实用教程(ISE)
06、Xilinx FPGA设计权威指南(ISE)
07、Xilinx 系列FPGA芯片IP核详解(刘华东编著)

5、脚本技巧类
01、使用modelsim可能会调用do文件使用编写TCL脚本,这里有适合新手入门的modelsim仿真中 do文件的写法技巧
https://blog.csdn.net/wordwarwordwar/article/details/80445775
02、vivado中防止信号被优化的三种方式
http://xilinx.eetrend.com/d6-xilinx/blog/2017-08/11859.html

03、vivado生成edf文件(这里在设置完成文件后,需要打开design,然后再敲入tcl命令)
https://blog.csdn.net/chuoshumi7080/article/details/100759628?ops_request_misc=%25257B%252522request%25255Fid%252522%25253A%252522160972939916780262014534%252522%25252C%252522scm%252522%25253A%25252220140713.130102334.pc%25255Fblog.%252522%25257D&request_id=160972939916780262014534&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2blogfirst_rank_v2~rank_v29-1-100759628.pc_v2_rank_blog_default&utm_term=edf

dcp和edf文件生成使用方法
https://blog.csdn.net/u014035968/article/details/105128741?ops_request_misc=%25257B%252522request%25255Fid%252522%25253A%252522160972922616780263046875%252522%25252C%252522scm%252522%25253A%25252220140713.130102334.pc%25255Fall.%252522%25257D&request_id=160972922616780263046875&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_v2~rank_v29-5-105128741.pc_search_result_cache&utm_term=vivado生成edf文件

04、crc解释和使用方法
https://www.cnblogs.com/kingstacker/p/9848191.html
crc的工具
https://www.easics.com/crctool/

6、程序系统类(Linux下调试PCIE)
01、Linux操作系统的基本命令
https://www.cnblogs.com/qxandxt/p/10479869.html
02、lspci命令详解(主要为了查看设备是否已经连接上)
https://blog.csdn.net/huangxuesi/article/details/69959679
03、linux的视频教学操作及word笔记,强烈推荐
https://www.bilibili.com/video/av23360560

7、verilog语法使用类
01、for循环
https://blog.csdn.net/wordwarwordwar/article/details/80390830?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159391312219195264534172%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=159391312219195264534172&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_ecpm_v3~pc_rank_v2-1-80390830.first_rank_ecpm_v3_pc_rank_v2&utm_term=verilog%E7%9A%84for%E5%BE%AA%E7%8E%AF

02、generate使用:
https://blog.csdn.net/qq_38428056/article/details/84821982?utm_medium=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.nonecase&depth_1-utm_source=distribute.pc_relevant.none-task-blog-BlogCommendFromMachineLearnPai2-1.nonecase

03、function函数和task函数的使用方法
https://www.cnblogs.com/SYoong/p/5865546.html

8、经验总结类
01、ise综合报告面积分析
https://wenku.baidu.com/view/925226217fd5360cbb1adb41.html
02、testbench经验总结
https://blog.csdn.net/wordwarwordwar/article/details/53885209
03、testbench常用函数总结
https://blog.csdn.net/skyplain1984/article/details/53946780?utm_source=blogxgwz7

9、知识普及类
01、xilinx各系列的介绍
https://blog.csdn.net/u013273161/article/details/82999617

02、内部资源
https://blog.csdn.net/woshiyuzhoushizhe/article/details/96978427?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159858549019724825721259%2522%252C%2522scm%2522%253A%252220140713.130102334.pc%255Fall.%2522%257D&request_id=159858549019724825721259&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_ecpm_v3~pc_rank_v4-1-96978427.first_rank_ecpm_v3_pc_rank_v4&utm_term=fpga内部资源+slice+lut&spm=1018.2118.3001.4187

https://blog.csdn.net/vivid117/article/details/102841135

03、ibufg bufg:解释
https://blog.csdn.net/pcseye/article/details/7321646?ops_request_misc=%257B%2522request%255Fid%2522%253A%2522159860417119195188324499%2522%252C%2522scm%2522%253A%252220140713.130102334…%2522%257D&request_id=159860417119195188324499&biz_id=0&utm_medium=distribute.pc_search_result.none-task-blog-2allfirst_rank_ecpm_v3~pc_rank_v4-2-7321646.first_rank_ecpm_v3_pc_rank_v4&utm_term=bufg+ibufg&spm=1018.2118.3001.4187

04、QPLL和CPLL
http://www.360doc.com/content/19/0617/08/908538_843013455.shtml

05、mmcm原语
https://www.cnblogs.com/wangdaling/p/12376090.html

往后时间空余了,再想着做个交流群吧,免费贡享资料的那种,现在的实力还不允许我这么做,感谢各位小伙伴的支持与鼓励哦!

  • 55
    点赞
  • 180
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 17
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 17
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pose_Ma

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值