基于verilog的数字万年历时钟设计

1 篇文章 1 订阅
1 篇文章 0 订阅

一 digital_clock设计需求
本设计采用FPGA,实现核心控制。利用独立按键当作输入,利用六位一体的共阳极数码管作为显示设备。具体要求如下:
1.数字钟要求显示时间、日期、闹钟时间。本设计采用verilog,芯片为50MHZ的EP4CE10F17C8N,实现核心控制。
2.显示时利用小数点将所显示内容分开。(例:19.12.55)
3.外部输入的按键有,切换按键,调整按键,加按键,减按键。具体功能如下:
数字钟要求显示时间、日期、闹钟设定时间。利用切换按键进行年月日、时间、闹钟定时操作,三种状态均可用增减两个按键进行调整,对于选中的数码管调整位,通过闪烁表示已经选中,例如:首先切换至日期,选中表示“年”的数码管,那么选中的位进行0.5秒的闪烁表示选中,其次可通过增减按键进行数字的增减。另外在按键消抖后,每次按键按下,蜂鸣器响表示已经按下;设定的闹钟到时候,按下任何按键均停止蜂鸣器,若没有按键按下,蜂鸣器长响至1min时长后,自动停止。

二 顶层设计
在这里插入图片描述
三 架构图
在这里插入图片描述
由于涉及代码过多,各子模块繁琐,已经将程序上传至主页,包括设计文档,需要的请自行下载。
https://download.csdn.net/download/weiyunguan8611/11055682

另外我的fpga的全部资料和笔记在这里哦(欢迎浏览查看):https://blog.csdn.net/weiyunguan8611/article/details/100934712

  • 3
    点赞
  • 65
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 12
    评论
基于FPGA设计万年历数字时钟课程设计Quartus工程源码+设计报告文档资料,可以做为你的学习设计参考。 系统总体设计 2.1.1 系统功能介绍 本次万年历实现的功能有: (1)年月日、时分秒的走时、设置及其显示。 (2)闹钟功能、闹钟设置及其闹钟设置显示。 2.1.2 系统硬件介绍 本次万年历设计使用的硬件资源有:6个数码管、三个微动开关、两个拨码开关和两个LED灯。 其中,6个数码管选择显示年月日、时分秒及其闹钟设置的时分秒。三个按键分别为翻页按键(选择数码管显示内容)、选择按键(选择需调整的内容)、加一按键(调整的内容加一),用于翻页和时间及其闹钟的设置。两个拨码开关分别为闹钟使能开关和复位开关,用于闹钟的打开及其关闭和将整个系统复位。两个LED灯分别为闹钟开关状态和闹钟标志(代替蜂鸣器)。 2.1.3 系统总体框图介绍 整个系统采用自顶向下的设计方法,从系统的总体功能出发,将整个系统划分为多个模块,然后再将各个模块划分为多个功能部分,编程完成后将各个部分联系起来组成整个系统。 module calendar(system_clk,reset,key_turn,key_switch,key_add,switch_alarm,led,beep,hex0,hex1,hex2,hex3,hex4,hex5); input system_clk,reset,key_turn,key_switch,key_add,switch_alarm;//系统时钟、复位、三个按键和一个拨码开关 output led,beep; //LED灯和蜂鸣器 output [6:0] hex0; //输出:数码管0 output [6:0] hex1; //输出:数码管1 output [6:0] hex2; //输出:数码管2 output [6:0] hex3; //输出:数码管3 output [6:0] hex4; //输出:数码管4 output [6:0] hex5; //输出:数码管5 wire second_add,minute_add,hour_add,day_add,month_add,year_add,alarm_second_add,alarm_minute_add,alarm_hour_add; //专属增一信号 wire [1:0] flag_turn; //翻页状态信号 wire [1:0] flag_switch; //闪烁数码管选择信号 wire select_sign; //选择状态 wire [5:0] second; //秒 wire [5:0] minute; //分 wire [4:0] hour; //小时 wire [4:0] day; //日 wire [3:0] month; //月 wire [6:0] year; //年 wire [5:0] alarm_second; //闹钟秒 wire [5:0] alarm_minute; //闹钟分 wire [4:0] alarm_hour; //闹钟小时 //例化按键模块 key_drive_module use_key_drive_module( .system_clk (system_clk), .reset (reset), .key_turn (key_turn), .key_switch (key_switch), .key_add (key_add), .flag_switch (flag_switch), .flag_turn (flag_turn), .second_add (second_add), .minute_add (minute_add), .hour_add (hour_add), .day_add (day_add), .month_add (month_add), .year_add (year_add), .alarm_second_add (alarm_second_add), .alarm_minute_add (alarm_minute_add), .alarm_hour_add (alarm_hour_add), .select_sign (select_sign) ); //例化时钟模块 clock
以下是一个基于Verilog设计万年历时钟程序和测试程序的简单示例: ```verilog // 万年历时钟程序 module calendar_clock ( input clk, // 时钟信号 input reset, // 复位信号 output reg [3:0] year, // 年份 output reg [3:0] month, // 月份 output reg [4:0] day, // 日 output reg [2:0] weekday // 星期几 ); reg [3:0] year_reg; reg [3:0] month_reg; reg [4:0] day_reg; reg [2:0] weekday_reg; // 时钟计数器 reg [27:0] counter; always @(posedge clk or posedge reset) begin if (reset) begin counter <= 0; year_reg <= 0; month_reg <= 1; day_reg <= 1; weekday_reg <= 1; end else begin counter <= counter + 1; if (counter == 86400) begin // 每天的秒数 counter <= 0; day_reg <= day_reg + 1; weekday_reg <= weekday_reg + 1; if (weekday_reg == 8) begin weekday_reg <= 1; end if (month_reg == 2) begin // 二月份 if (((year_reg % 4 == 0) && (year_reg % 100 != 0)) || (year_reg % 400 == 0)) begin // 闰年 if (day_reg == 30) begin day_reg <= 1; month_reg <= month_reg + 1; end end else begin if (day_reg == 29) begin day_reg <= 1; month_reg <= month_reg + 1; end end end else if ((month_reg == 4) || (month_reg == 6) || (month_reg == 9) || (month_reg == 11)) begin // 小月份 if (day_reg == 31) begin day_reg <= 1; month_reg <= month_reg + 1; end end else begin // 大月份 if (day_reg == 32) begin day_reg <= 1; month_reg <= month_reg + 1; end end if (month_reg == 13) begin month_reg <= 1; year_reg <= year_reg + 1; end end end end assign year = year_reg; assign month = month_reg; assign day = day_reg; assign weekday = weekday_reg; endmodule // 测试程序 module calendar_clock_test; reg clk; reg reset; wire [3:0] year; wire [3:0] month; wire [4:0] day; wire [2:0] weekday; calendar_clock dut ( .clk(clk), .reset(reset), .year(year), .month(month), .day(day), .weekday(weekday) ); initial begin clk <= 0; reset <= 1; #10 reset <= 0; #864000 $finish; // 10天的时间 end always #5 clk <= ~clk; endmodule ``` 这个Verilog代码实现了一个万年历时钟程序,可以显示公历的年份、月份、日和星期几。它使用了一个时钟计数器来计算时间,并根据公历的规则更新日期和星期几。在测试程序中,时钟信号和复位信号被分别设置为5ns的周期和10ns的复位时间,然后等待10天后结束仿真。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 12
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

pose_Ma

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值