Verilog阶乘器

Verilog实现的阶乘功能。

module FactorialFunc(clk,reset_low,ans,n);
  input clk,reset_low;
  input[3:0] n;
  output reg[31:0] ans;
  
  always @(posedge clk)
  begin
    if(!reset_low)
      ans = 0;
    else
      begin
        ans = factorial(n);
      end
  end
  
  function[31:0] factorial;
    input[3:0] total;
    reg[3:0] index;
    
    begin
      factorial = total ? 1:0;
      for(index = 2; index <= total; index = index+1)//TODO: index <= total(CS162), error. don't know why.
      begin
        factorial = factorial * index;
      end
    end
  endfunction
endmodule

测试代码如下:

`timescale 1ns/1ns
`include "FactorialFunc.v"

module FactorialFunc_Test;
  reg clk,reset;
  wire[31:0] ans;
  reg[3:0] n,i;
  
  initial
  begin
    clk = 0;
    reset = 1;
    n = 0;
    
    forever #50 
  • 2
    点赞
  • 15
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值