Verilog中函数的定义及调用

简介:
Verilog中函数的定义及调用。
代码实现:

/*-----------------------------------
Filename: try_factorial.v
Function: Verilog中函数的定义及调用
Author: Zhang Kaizhou
Date: 2019-8-7 23:35:17
------------------------------------*/
module try_factorial;
	//定义阶乘函数
	function [31 : 0] factorial; //函数返回值为一个32bit的reg型值
		input [3 : 0] operand; //函数输入为一个4bit的值
		reg [3 : 0] index;
		begin
			factorial = 1;
			for(index = 2; index <= operand; index = index + 1) //用循环累乘计算阶乘
				factorial = index * factorial;
		end
	endfunction
	
	//调用函数计算0~9的阶乘
	reg [31 : 0] result;
	reg [3 : 0] n;
	initial
	begin
		result = 1;
		for(n = 0; n <= 9; n = n + 1)
		begin
			result = factorial(n);
			$display("%d! = %d", n, result);
		end
	end
endmodule

仿真结果:
在这里插入图片描述
以上Modelsim中的仿真结果可知,阶乘函数功能实现正常。

  • 5
    点赞
  • 19
    收藏
    觉得还不错? 一键收藏
  • 1
    评论
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值