FPGA基础模块(一):PWM

一、原理

二、硬件配置

IO配置:
在这里插入图片描述

三、代码实现

module PWM(
        input               sys_clk,                     
        input               sys_rst_n,                 
        output              pwm_out                         
           );
        parameter           en=1;                      
        parameter   [31:0]  period=50000000;      //pwm频率设置: = period = 本地时钟频率 / 期望pwm频率 
                                               //例如:50Mhz / 1hz = 50 000 000         
        parameter   [31:0]  pulse_width=25000000; //pwm占空比设置  %(pulse_width/period)         
        reg         [31:0]  cnt;
        reg                 wave;
    
    always @(posedge sys_clk or negedge sys_rst_n)    begin 
        if(!sys_rst_n)
            cnt <= 0;
        else if(cnt<period-1 && en)
            cnt <= cnt + 1;
        else 
            cnt <= 0;
    end 
    
    always @(posedge sys_clk or negedge sys_rst_n)    begin
        if(!sys_rst_n)
            wave <= 0;
        else if(cnt<pulse_width && en)
            wave <= 1;
        else 
            wave <= 0;
    end
    
        assign  pwm_out = wave;               
        
endmodule
  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值