典型电路------serdes

Serdes是一种重要的数据转换技术,包括串行器和解串器,用于并行到串行及反之的转换。它涉及 Rx 对齐、时钟管理、FIFO 缓存、线路接口、线路编码与解码等功能。时钟修正和通道绑定确保数据准确传输,而加解扰技术则解决直流偏置问题。在高速数据传输中,Serdes 还需应对宇宙射线干扰、抖动和ISI等挑战。
摘要由CSDN通过智能技术生成

·串行器:将速率为y的n位宽并行数据转变成速率为n*y的串行数据。

·解串器:将速率为n*y的串行数据转变成速率为y的n位宽并行数据。

·Rx(接收)对齐:将接收的数据对齐到合适的字边界。可以使用不同的方法,从自动检

测和对齐特殊的预留比特序列(通常也称作comma字符),到用户控制的比特调整。

·时钟管理器:管理各种时钟操作,包括时钟倍频,时钟分频,时钟恢复。

·发送FIFO(先进先出):在输入数据发送之前,暂时保存数据。

·接收FIFO:在接收数据被提取之前,暂时保存数据。在需要时钟修正的系统中,接

收FIFO是必须的。

·接收线路接口:模拟接收电路,包括差分接收器,还可能包括有源或者无源均衡电路。

·发送线路接口:模拟发送电路,可以支持多种驱动负荷。通常还带有转换的预加重部分。

·线路编码器:将数据编码成适应不同线路的格式。编码器通常会消除长的无转变位的

序列,同时还可以平衡数据中0、1的出现次数。(这是一个可选模块,某些SERDES

可能没有。)

·线路译码器:将线路上的编码数据分解成原始数

评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值