Quartus十字路口的交通灯verilog代码FPGA实验底板

名称:Quartus十字路口的交通灯verilog代码FPGA实验底板

软件:Quartus

语言:Verilog

代码功能:

十字路口的交通灯

使用如下代码在quartus软件工具用Verilog编写程序modelsim平台仿真,设计一个十字路口的交通灯,一个周期内,红灯发光30s,绿灯发光27s,黄灯发光3s。红灯发光期间,数码管上显示的数字要从29递减到0;绿灯发光期间,数码管上显示的数字要从26递减到0;黄灯发光的期间,数码管上显示的数字要从2递减到0

本代码已在开发板验证,开发板资料如下:(把FPGA实验底板.pdf和FPGA实验系统资源.xlsx两个文件上传作为开发板资料)

FPGA实验底板.pdf

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

状态图

5. 管脚分配

6. Testbench

7. 仿真图

整体仿真图

分频模块

交通灯控制模块

倒计时模块

数码管控制模块

部分代码展示:

红->绿 绿->黄 黄->红
1、红--计时main_red_times------------------------绿--计时main_green_times---main_yellow_times黄灯---------------红
2、绿--计时branch_green_times---branch_yellow_times黄灯--------------------红--计时branch_reg_times-------------------绿
*/
//设东西为主路,南北为支路
module traffic_light(
input clk,//50Mhz
output main_red,//主路灯
output main_green,//主路灯
output main_yellow,//主路灯
output branch_red,//支路灯
output branch_green,//支路灯
output branch_yellow,//支路灯
output [7:0] HEX0,//(主干道)数码管0
output [7:0] HEX1,//(主干道)数码管1
output [7:0] HEX2,//(支干道)数码管2
output [7:0] HEX3//(支干道)数码管3
);
 wire clk_1Hz;
 wire [7:0] main_green_BCD;
 wire [7:0] main_yellow_BCD;
 wire [7:0] main_red_BCD;
 wire [7:0] branch_green_BCD;
 wire [7:0] branch_yellow_BCD;
 wire [7:0] branch_red_BCD;
 wire [7:0] main_data_out;
 wire [7:0] branch_data_out;
 
wire main_red_led;//主路灯
wire main_green_led;//主路灯
wire main_yellow_led;//主路灯
wire branch_red_led;//支路灯
wire branch_green_led;//支路灯
wire branch_yellow_led;//支路灯
wire main_yellow_flag;
wire branch_yellow_flag;
assign main_red=main_red_led;//主路灯
assign main_green=main_green_led;//主路灯
assign branch_red=branch_red_led;//支路灯
assign branch_green=branch_green_led;//支路灯
assign main_yellow=main_yellow_led;
assign branch_yellow=branch_yellow_led;
//分频模块
div div100
(
. clk(clk),
. clk_out(clk_1Hz)
);
//调整时间
wire [7:0]main_green_time;//由外部控制
wire [7:0]main_yellow_time;
wire [7:0]branch_green_time;//由外部控制
wire [7:0]branch_yellow_time;
//红灯发光30s,绿灯发光27s,黄灯发光3s。红灯发光期间,数码管上显示的数字要从29递减到0;
//绿灯发光期间,数码管上显示的数字要从26递减到0;黄灯发光的期间,数码管上显示的数字要从2递减到0
assign main_yellow_time=8'd3;//主路黄灯时间设置为3秒
assign branch_yellow_time=8'd3;//支路黄灯时间设置为3秒
assign main_green_time=8'd27;//主路绿灯27秒
assign branch_green_time=8'd27;//支路绿灯27秒
///
reg [7:0] display_main;
reg [7:0] display_branch;

//交通灯控制模块
led led(
. clk_1Hz(clk_1Hz),
. main_red(main_red_led),//主路灯
. main_green(main_green_led),//主路灯
. main_yellow(main_yellow_led),//主路灯
. branch_red(branch_red_led),//支路灯
. branch_green(branch_green_led),//支路灯
. branch_yellow(branch_yellow_led),//支路灯
. main_green_time(main_green_time),
. main_yellow_time(main_yellow_time),
. branch_green_time(branch_green_time),
. branch_yellow_time(branch_yellow_time),
. main_yellow_flag(main_yellow_flag),
. branch_yellow_flag(branch_yellow_flag),
. main_green_BCD(main_green_BCD),//绿灯时间计数
. main_yellow_BCD(main_yellow_BCD),//黄灯时间计数
. main_red_BCD(main_red_BCD),//红灯时间计数
. branch_green_BCD(branch_green_BCD),//绿灯时间计数
. branch_yellow_BCD(branch_yellow_BCD),//黄灯时间计数
. branch_red_BCD(branch_red_BCD)//红灯时间计数
);
//显示数据生成模块
shumaguan_data shumaguan_data(
. clk(clk),
. main_red(main_red_led),//主路灯
. main_green(main_green_led),//主路灯
. main_yellow(main_yellow_led),//主路灯
. branch_red(branch_red_led),//支路灯
. branch_green(branch_green_led),//支路灯
. branch_yellow(branch_yellow_led),//支路灯
. main_green_BCD(main_green_BCD),//绿灯时间计数
. main_yellow_BCD(main_yellow_BCD),//黄灯时间计数
. main_red_BCD(main_red_BCD),//红灯时间计数
. branch_green_BCD(branch_green_BCD),//绿灯时间计数
. branch_yellow_BCD(branch_yellow_BCD),//黄灯时间计数
. branch_red_BCD(branch_red_BCD),//红灯时间计数
. main_green_time(main_green_time),
. main_yellow_time(main_yellow_time),
. branch_green_time(branch_green_time),
. branch_yellow_time(branch_yellow_time),
. main_data_out(main_data_out),//主路数码管数据显示
. branch_data_out(branch_data_out)//支路数码管数据显示
);
display display
(
. clk(clk),
. SMG_1(main_data_out),//主路数码管数据显示
. SMG_2(branch_data_out),//支路数码管数据显示
. HEX0(HEX0),//(主干道)数码管0
. HEX1(HEX1),//(主干道)数码管1
. HEX2(HEX2),//(支干道)数码管2
. HEX3(HEX3)//(支干道)数码管3
);
endmodule
完整代码

 扫描文章末尾的公众号二维码

  • 16
    点赞
  • 27
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值