基于FPGA的2FSK调制解调Verilog代码Quartus仿真

名称:基于FPGA的2FSK调制解调Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:基于FPGA的2FSK调制解调

1. 工程文件

2. 程序文件

3. 程序编译

4. Testbench

5. 仿真图

部分代码展示:

`timescale 1ns / 1ps 
// 
// 2FSK调制解调
module FSK( 
 clk50, //50M时钟
 rst_n, //按下低电平
 modulation_signal,//调制信号
 demodulation_signal//解调信号
 ); 
input clk50;
input rst_n;
output modulation_signal;
output demodulation_signal;
wire [7:0] DATA;
//2FSK调制模块
FSK_modulation FSK2_modulation(
. clk(clk50),//50M时钟
. modulation_signal(modulation_signal),//调制信号
. data(DATA)//输出调制波形
);
//2FSK解调模块
FSK_demodulation FSK2_demodulation(
. clk(clk50),//50M时钟
. demodulation_signal(demodulation_signal),//解调信号
. data(DATA)//调制波形
);
endmodule
源代码

 扫描文章末尾的公众号二维码

  • 8
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值