基于FPGA的二位十进制减法计数器VHDL代码Quartus仿真

名称:基于FPGA的二位十进制减法计数器VHDL代码Quartus仿真(文末获取)

软件:Quartus

语言:VHDL

代码功能:

二位十进制减法计数器 需要满足99—0循环减法计数功能,进行软件仿真并制出形 需要代码 电路图 波形图 不需要实物

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. 仿真图

部分代码展示:

LIBRARY ieee;
   USE ieee.std_logic_1164.all;
   USE ieee.std_logic_unsigned.all;
--二位十进制减法计数器 99—0循环减法计数
ENTITY down_cnt IS
   PORT (
      clk    : IN STD_LOGIC;--时钟
      rst    : IN STD_LOGIC;--复位
      cnt_H  : OUT STD_LOGIC_VECTOR(3 DOWNTO 0);--计数器十位
      cnt_L  : OUT STD_LOGIC_VECTOR(3 DOWNTO 0) --计数器个位
   );
END down_cnt;
ARCHITECTURE trans OF down_cnt IS
   --定义信号
   SIGNAL count_H : STD_LOGIC_VECTOR(3 DOWNTO 0);--计数器十位
   SIGNAL count_L : STD_LOGIC_VECTOR(3 DOWNTO 0);--计数器个位
BEGIN
   --计数器控制
   PROCESS (clk, rst)
   BEGIN
      IF (rst = '1') THEN--复位清零
         count_H <= "0000";
         count_L <= "0000";
      ELSIF (clk'EVENT AND clk = '1') THEN
         IF (count_H = "0000" AND count_L = "0000") THEN--计数到00
            count_H <= "1001";--回到99
            count_L <= "1001";--
         ELSIF (count_L = "0000") THEN--个位为0
            count_H <= count_H - "0001";--十位减1
            count_L <= "1001";--个位回9
源代码

 扫描文章末尾的公众号二维码

  • 5
    点赞
  • 10
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值