基于FPGA的12位全加器设计Verilog代码Quartus仿真

名称:基于FPGA的12位全加器设计Verilog代码Quartus仿真(文末获取)

软件:Quartus

语言:Verilog

代码功能:

12位全加器

设计1位全加器,并将1位全加器组合为12位全加器

1.程序工程文件

2.程序运行

3.程序文件

4.程序结构(RTL图)

5.程序仿真

testbench

仿真图

十进制表示

可以看到两个加数加上进位等于和,若溢出则输出进位

部分代码展示:

//1bit全加器
module  add_1bit(
input add_1,//加数1
input add_2,//加数2
input c_in,//进位输入
output sum,//和
output c_out//进位输出
);
wire s1,c1,c2;
assign s1=add_1 ^ add_2;//异或
    assign c1=add_1 & add_2;//与
    assign c2=c_in & s1;//与
assign c_out=c1 | c2;//或//进位输出
assign sum=c_in ^ s1;//异或//和
endmodule

源代码

 扫描文章末尾的公众号二维码

  • 4
    点赞
  • 5
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值