交通灯控制电路设计Verilog代码VIVADO仿真

名称:交通灯控制电路设计Verilog代码VIVADO仿真(文末获取)

软件:VIVADO

语言:Verilog

代码功能:

实现主干道和边道交通灯的控制

要求:

1.只要边道没车,主干道绿灯一直亮,边道有车,主干道绿灯最少保持25S。 

2. 边道有车通过时边道绿灯最长保持25S,边道没车时则切换到下一个状态。

3. 主干道绿灯亮和边道绿灯亮之间切换需过渡状态,时间4S,保持黄灯亮。

要求.doc

1. 工程文件

2. 程序文件

3. 程序编译

4. RTL图

5. Testbench

6. 仿真图

部分代码展示:

//顶层模块
module TrafficLights(VSin, Clkin, MR, SR, MY, SY, MG, SG);
   input   VSin;//边道车辆传感器
   input   Clkin;//时钟
   output  MR;
   output  SR;
   output  MY;
   output  SY;
   output  MG;
   output  SG;
   wire    Sig1;
   wire    Sig2;
   wire    Sig3;
   wire    Sig4;
   wire    Gray0;
   wire    Gray1;
  
   wire    LongTime;
   wire    ShortTime;
   wire    TLin;
   wire    TSin;
   wire    Clock;

源代码

 扫描文章末尾的公众号二维码

  • 5
    点赞
  • 7
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值