uvm入门和进阶实验lab0

编译uvm_compile

任何验证顶层需要

  import uvm_pkg::*;
  `include "uvm_macros.svh"

uvm_pkg是uvm库的预编译库,uvm_macros.svh是uvm很多宏的头文件

sv_std.std 是SV的内建包,定义一些class,task,function和变量

mtiUvm_pkg是mentor已经编译好的库,mtiUvm.questa_uvm_pkg是questa专门针对uvm定制好的库

questasim会提前自动编译好uvm_pkg,不需要我们独立编译,其他编译器,如VCS需要我们独立编译uvm_pkg

查看层次结构:View→Class Browser→Class Tree→sim

  • 0
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 1
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论 1
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值