uvm 报错:调试

这篇博客主要讨论了在VHDL设计中遇到的编译错误,提示无法找到'reg_pkg_uvm'包。错误详细信息提到了可能的库搜索路径问题,建议检查文件列表是否包含了缺失的package文件,如'./reg_pkg_uvm.sv'等,并确保命令行中的库路径设置正确。解决这个问题对于成功编译和仿真至关重要。
摘要由CSDN通过智能技术生成

** Error: ./pcie_cpl_pkg.sv(5): (vlog-13006) Could not find the package (reg_pkg_uvm). Design read will continue, but expect a cascade of errors after this failure. Furthermore if you experience a vopt-7 error immediately before this error then please check the package names or the library search paths on the command line.

需要在filelist中添加package文件;

+incdir+./
./glb_var_pkg.sv
./reg_pkg_uvm.sv
./pcie_pkg.sv
./top.sv
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值