如何从本地导入uvm_pkg

export uvm_ext = on
export uvm_home =../uvm-1.1

ifneq ($(uvm_ext),on)
    USER_CMP_OPTS += -ntb_opts uvm-1.1
else
    USER_CMP_OPTS += \
	 	+incdir+${uvm_home}/src/vcs \
	 	+incdir+${uvm_home}/src \
	 	+define+VCS \
	 	-y ${uvm_home}/src/vcs \
	 	-y ${uvm_home}/src \
	 	${uvm_home}/src/dpi/uvm_dpi.cc \
	 	${uvm_home}/src/uvm_pkg.sv
endif

注意:

  1. UVM编译选项的定义需要在tb文件列表的前面,否则文件中引用uvm中的类时,可能报错
  2. tb中需要导入uvm_pkg,
`include "uvm_macros.svh"
import uvm_pkg::*;
  • 1
    点赞
  • 1
    收藏
    觉得还不错? 一键收藏
  • 打赏
    打赏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包

打赏作者

sunvally

你的鼓励将是我创作的最大动力

¥1 ¥2 ¥4 ¥6 ¥10 ¥20
扫码支付:¥1
获取中
扫码支付

您的余额不足,请更换扫码支付或充值

打赏作者

实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值