export uvm_ext = on
export uvm_home =../uvm-1.1
ifneq ($(uvm_ext),on)
USER_CMP_OPTS += -ntb_opts uvm-1.1
else
USER_CMP_OPTS += \
+incdir+${uvm_home}/src/vcs \
+incdir+${uvm_home}/src \
+define+VCS \
-y ${uvm_home}/src/vcs \
-y ${uvm_home}/src \
${uvm_home}/src/dpi/uvm_dpi.cc \
${uvm_home}/src/uvm_pkg.sv
endif
注意:
- UVM编译选项的定义需要在tb文件列表的前面,否则文件中引用uvm中的类时,可能报错
- tb中需要导入uvm_pkg,
`include "uvm_macros.svh"
import uvm_pkg::*;