uvm_pkg——老板,打包带走

Thus spake the master programmer: “After three day without programming, life becomes meaningless.”
编程大师曰:“倘若三天不编程,生活将变得毫无意义。”
 
  在SV中引入了package 的概念,可以使多个模块进行数据类型共享,使用作用域解析符对包进行引用(::),从UVM 的包总来看主要包括:uvm_macros包含UVM的所有宏定义,dpi目录定义外部语言接口,base包UVM中基础的class,dap是Data Access Policy, tlm1 包含Tranaction layer model 1的类定义。comps定义所有UVM中所用的component. seq目录是sequence机制的实现,TLM2则是对TLM2机制的实现,reg包含寄存器模型的实现。
 
`ifndef UVM_PKG_SV
`define UVM_PKG_SV

`include "uvm_macros.svh"

package uvm_pkg;

  `include "dpi/uvm_dpi.svh"
  `include "base/uvm_base.svh"
  `include "dap/uvm_dap.svh"
  `include "tlm1/uvm_tlm.svh"
  `include "comps/uvm_comps.svh"
  `include "seq/uvm_seq.svh"
  `include "tlm2/uvm_tlm2.svh"
  `include "reg/uvm_reg_model.svh"

endpackage

`endif

 

转载于:https://www.cnblogs.com/dpc525/p/7927066.html

  • 2
    点赞
  • 14
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值