FPGA学习笔记——AXI总线协议解析

简介

AXI(Advanced eXtensible Interface)是一种总线协议,该协议是ARM公司提出的AMBA3.0协议中的一部分。AXI总线目前已经发展到AXI5,在FPGA平台上常用的是AXI4。

AXI4接口有三种类型:

  • AXI4(AXI4-Full):满足高性能内存映射需求。支持256长度突发(burst)传输。
  • AXI4-Lite:对于简单的、低吞吐量的内存映射通信。突发长度只能为1,数据位宽只支持32位或者64位。
  • AXI4-Stream:用于高速流数据。不需要地址,允许无限的数据突发大小。

读写通道

AXI-Full和AXI-Lite都包含五个不同的通道:

  • 写地址通道(Write Address Channel)
  • 写数据通道(Write Data Channel)
  • 写响应通道(Write Response Channel)
  • 读地址通道(Read Address Channel)
  • 读数据通道(Read Data Channel)

注意:没有读响应通道,因为写地址和写数据方向都是主->从,那么需要额外建立一个从->主的通道来响应写入成功。而读地址方向是主->从,读数据方向是从->主,所以不需要额外的通道。所以没有读响应通道,但是有读响应信号

AXI4读地址和读数据通道示意:

AXI4写地址,写数据和写响应通道示意:

握手信号

AXI每个通道都有两个握手信号:VALID和READY。为了防止死锁,在任何事务中VALID信号不能依赖于另一个组件的READY信号,READY信号可以等待VALID信号。实际上,在比如Xilinx BRAM等IP中,都是先给VALID,对应的READY才会拉高,所以等READY再使能VALID是不对的工作时序。
 

  • 7
    点赞
  • 8
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
AXI(Advanced eXtensible Interface)总线协议是一种高性能、低功耗、可扩展的总线协议,用于在SoC(System-on-Chip)中连接不同的IP核。下面是AXI总线协议的详细介绍: 1. AXI总线协议的特点: - 高性能:支持乱序传输和并行传输,提供高带宽和低延迟的数据传输。 - 可扩展性:支持多主机和多从机的连接,可以通过添加更多的通道来扩展总线带宽。 - 低功耗:通过提供低功耗模式和动态电源管理来降低功耗。 - 灵活性:支持不同的传输类型(读、写、原子操作等)和不同的数据宽度。 2. AXI总线协议的组成: - 主机(Master):发送事务请求的设备。 - 从机(Slave):接收事务请求并提供相应的数据或执行相应的操作的设备。 - 通道(Channel):用于在主机和从机之间传输数据和控制信息的物理连接。 3. AXI总线协议的事务类型: - 读事务(Read Transaction):主机向从机请求读取数据。 - 写事务(Write Transaction):主机向从机发送数据进行写操作。 - 原子操作(Atomic Operation):支持原子性的读-修改-写操作,确保多个事务的原子性。 4. AXI总线协议的信号和通信流程: - 读事务:主机发送读请求,从机返回数据。 - 写事务:主机发送写请求和数据,从机接收并处理写请求。 以上是对AXI总线协议的简要介绍,如果你对其中的某个方面有更具体的问题,请告诉我。

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值