FPGA阻塞非阻塞

阻塞:a=b;c=a;
在always @(posedge clk)块里,阻塞是顺序赋值,有先后顺序,a先得到b的值,然后再把新值赋值给c。
实现的结果是:一个时钟到来后,a=b=c;

非阻塞:a<=b;c<=a;
在always @(posedge clk)块里,非阻塞是并行赋值,无先后顺序,a得到b的值,同时把当前值赋值给c。
实现的结果是:一个时钟到来后,a=b;c=a赋值前的值。

阻塞与非阻塞是对于赋值过程而言的,阻塞表现为顺序执行,第一行代码完成才能继续往下走;非阻塞表现为并行执行,第一行与第二行代码同时执行。

  • 1
    点赞
  • 3
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值