FPGA学习笔记 -- 阻塞赋值与非阻塞赋值

 

阻塞赋值与非阻塞赋值所带来的差异比较巨大,所以要谨慎使用,官方推荐的写法是在组合逻辑电路中使用阻塞赋值,而在时序逻辑电路中使用非阻塞赋值

module blocking
(
	input wire clk,
	input wire res_n,
	input wire [1:0] in,
	
	output reg [1:0] out
);
reg in_reg;

always@(posedge clk or negedge res_n)
	if(res_n == 1'b0)
		begin
			in_reg = 2'b0;
			out = 2'b0;
		end
	else
		begin
			in_reg = in;
			out = in_reg;
		end
		
endmodule
`timescale 1ns/1ns

module tb_blocking();

reg clk;
reg res_n;
reg [1:0] in;
wire [1:0] out;

initial
	begin
		clk = 1'b1;
		res_n <= 1'b0;
		in <= 2'b0;
		#20
		res_n <= 1'b1;
		
	end

always #10 clk = ~clk;
always #20 in <= {$random} % 4;

blocking blocking_1
(
	.clk(clk),
	.res_n(res_n),
	.in(in),
	
	.out(out)
); 	
	
endmodule
module blocking
(
	input wire clk,
	input wire res_n,
	input wire [1:0] in,
	
	output reg [1:0] out
);
reg in_reg;

always@(posedge clk or negedge res_n)
	if(res_n == 1'b0)
		begin
			in_reg <= 2'b0;
			out <= 2'b0;
		end
	else
		begin
			in_reg <= in;
			out <= in_reg;
		end
		
endmodule

  • 0
    点赞
  • 0
    收藏
    觉得还不错? 一键收藏
  • 0
    评论

“相关推荐”对你有帮助么?

  • 非常没帮助
  • 没帮助
  • 一般
  • 有帮助
  • 非常有帮助
提交
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值