教你如何用modelsim不创建工程直接进行Verilog文件的仿真

教你如何用modelsim不创建工程直接进行Verilog文件的仿真
一、Compile文件
编写好.v和textbench测试文件后,点击compile选项下的第一个选项compile,对.v文件和测试文件进行compile。
在这里插入图片描述

弹出如下界面,添加.v文件和textbench测试文件
在这里插入图片描述

(1)Compile Verilog文件(count.v):
在这里插入图片描述

(2)Compile textbench文件(vtf_count_test)
在这里插入图片描述

二、设置start simulation。
在这里插入图片描述

点击ok之后弹出如下界面:添加需要观测的波形信号:
在这里插入图片描述

三、启动仿真和停止
点击simulate下的run all,开始仿真。
在这里插入图片描述

停止仿真的方法:
1.在textbench中initial下添加如下语句,即可在设置仿真时间结束后自动停止仿真:
#5000;//(仿真时间)
$stop;
2.点击stop按钮
在这里插入图片描述

仿真波形和代码:
在这里插入图片描述
在这里插入图片描述
在这里插入图片描述

  • 1
    点赞
  • 6
    收藏
    觉得还不错? 一键收藏
  • 0
    评论
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值