github上包含SystemVerilog的仓库

一系列开源硬件项目集合,包括使用SystemVerilog实现的FPGA HDMI发送器、非平凡MIPS处理器、AXI接口库、SystemVerilog参考材料、测试套件等,涵盖了从基础组件到复杂处理器的设计与验证。
摘要由CSDN通过智能技术生成
NAMEOWNERSTARSURLDESCRIPTION
hdmihdl-util472https://github.com/hdl-util/hdmiSend video/audio over HDMI on an FPGA
nontrivial-mipstrivialmips394https://github.com/trivialmips/nontrivial-mipsNonTrivial-MIPS is a synthesizable superscalar MIPS processor with branch prediction and FPU support, and it is capable of booting linux.
axipulp-platform198https://github.com/pulp-platform/axiAXI SystemVerilog synthesizable IP modules and verification infrastructure for high-performance on-chip communication
SystemVerilogReferenceVerificationExcellence188https://github.com/VerificationExcellence/SystemVerilogReferencetraining labs and examples
logictymonx136https://github.com/tymonx/logicCMake, SystemVerilog and SystemC utilities for creating, building and testing RTL projects for FPGAs and ASICs.
verilog-modeveripool113https://github.com/veripool/verilog-modeVerilog-Mode for Emacs with Indentation, Hightlighting and AUTOs. Master repository for pushing to GNU, verilog.com and veripool.org.
sv-testsSymbiFlow92https://github.com/SymbiFlow/sv-testsTest suite designed to check compliance with the SystemVerilog standard.
common_cellspulp-platform73https://github.com/pulp-platform/common_cellsCommon SystemVerilog components
fx68kijor71https://github.com/ijor/fx68kFX68K 68000 cycle accurate SystemVerilog core
USTC-RVSoCWangXuan9566https://github.com/WangXuan95/USTC-RVSoCFPGA-based RISC-V CPU+SoC.
TrivialMIPStrivialmips61https://github.com/trivialmips/TrivialMIPSMIPS32 CPU implemented in SystemVerilog, with superscalar and FPU support
Deep-Neural-Network-Hardware-AcceleratorStefanSredojevic52https://github.com/StefanSredojevic/Deep-Neural-Network-Hardware-AcceleratorSystemVerilog HDL and TB code Deep Neural Network Hardware Accelerator implementation on zybo 7010 FPGA and also C code for Vivado SDK Software
svaunitamiq-consulting49https://github.com/amiq-consulting/svaunitSVAUnit is an UVM compliant package that simplify the creation of stimuli/checkers for validating SystemVerilog Assertions (SVA)
SystemVerilogAssertionsVerificationExcellence47https://github.com/VerificationExcellence/SystemVerilogAssertionsExamples and reference for System Verilog Assertions
tvip-axitaichi-ishitani47https://github.com/taichi-ishitani/tvip-axiAMBA AXI VIP
systemverilog.iosubbdue46https://github.com/subbdue/systemverilog.ioCode used in
AHB2GodelMachine43https://github.com/GodelMachine/AHB2AMBA AHB 2.0 VIP in SystemVerilog UVM
SystemVerilogSHA256unixb0y37https://github.com/unixb0y/SystemVerilogSHA256SHA256 in (System-) Verilog / Open Source FPGA Miner
tnoctaichi-ishitani37https://github.com/taichi-ishitani/tnocNetwork on Chip Implementation written in SytemVerilog
FPGA-Application-Development-and-Simulationloykylewong36https://github.com/loykylewong/FPGA-Application-Development-and-Simulation《FPGA应用开发和仿真》(机械工业出版社2018年第1版 ISBN:9787111582786)的源码。Source Code of the book FPGA Application Development and Simulation(CHS).
gatewareswetland33https://github.com/swetland/gatewareA collection of little open source FPGA hobby projects
FTDI-245fifo-interfaceWangXuan9532https://github.com/WangXuan95/FTDI-245fifo-interfaceFPGA-based USB fast communication using FT232H/FT600 chip.
riscv-vipjerralph29https://github.com/jerralph/riscv-vipFor pre-silicon developers of RISC-V systems, riscv-vip is a SystemVerilog project that helps with pre-si verification and debug
fpga-virtual-consoleHarry-Chen29https://github.com/Harry-Chen/fpga-virtual-consoleVT220-compatible console on Cyclone IV EP4CE55F23I7
FM_Radiopbing28https://github.com/pbing/FM_RadioSimple mono FM Radio.
combinator-uvmdoswellf27https://github.com/doswellf/combinator-uvmUVM Testbench For SystemVerilog Combinator Implementation
amiq_apbamiq-consulting26https://github.com/amiq-consulting/amiq_apbSystemVerilog VIP for AMBA APB protocol
aes128-hdlmbgh25https://github.com/mbgh/aes128-hdlA high-throughput VHDL and SystemVerilog implementation of AES-128 including scripts for a full front-end design process.
virtiotymonx23https://github.com/tymonx/virtioVirtio implementation in SystemVerilog
AEScjdrake22https://github.com/cjdrake/AESAdvanced Encryption Standard (AES) SystemVerilog Core
fpga-hash-tablejohan9221https://github.com/johan92/fpga-hash-tableSimple hash table on Verilog (SystemVerilog)
systemverilog-design-patternstenthousandfailures21https://github.com/tenthousandfailures/systemverilog-design-patternsNone
NoCRouteragalimberti20https://github.com/agalimberti/NoCRouterRTL Network-on-Chip Router Design in SystemVerilog by Andrea Galimberti, Filippo Testa and Alberto Zeni
custom_uvm_report_serverkaushalmodi18https://github.com/kaushalmodi/custom_uvm_report_serverCustomized UVM Report Server
verilog-sid-mos6581thomask7717https://github.com/thomask77/verilog-sid-mos6581MOS6581 SID chip emulator in SystemVerilog
sv-1800-2012gvekony17https://github.com/gvekony/sv-1800-2012IEEE Std 1800™-2012: IEEE Standard for SystemVerilog – Unified Hardware Design, Specification, and Verification Language syntax definition for VS Code
svxmxg16https://github.com/mxg/svxSystemVerilog Extension Library – a library of utilities for generic programming and increased productivity
verilog-formatjiegec16https://github.com/jiegec/verilog-formatA naive verilog/systemverilog formatter
system-verilog-patternsluuvish15https://github.com/luuvish/system-verilog-patternsSystemVerilog Design Patterns
sv_imagenelsoncsc15https://github.com/nelsoncsc/sv_imageReusable image processing modules in SystemVerilog
CSE240D-Hierarchical_Mesh_NoC-Eyeriss_v2karthisugumar15https://github.com/karthisugumar/CSE240D-Hierarchical_Mesh_NoC-Eyeriss_v2A SystemVerilog implementation of Row-Stationary dataflow and Hierarchical Mesh Network-on-Chip Architecture based on Eyeriss CNN Accelerator
nanoFOXDmitriy011114https://github.com/Dmitriy0111/nanoFOXA small RISC-V core (SystemVerilog)
FPGA-SDcard-ReaderWangXuan9514https://github.com/WangXuan95/FPGA-SDcard-ReaderFPGA-based SDcard Reader via SD bus.
uvm_debuguvmdebug13https://github.com/uvmdebug/uvm_debugUVM interactive debug library
jtag_dpipulp-platform12https://github.com/pulp-platform/jtag_dpiJTAG DPI module for SystemVerilog RTL simulations
smscelesteneary12https://github.com/celesteneary/smsSega Master System in SystemVerilog
nim-systemverilog-dpickaushalmodi11https://github.com/kaushalmodi/nim-systemverilog-dpicUsing Nim to interface with SystemVerilog test benches via DPI-C
svrealsgherbst11https://github.com/sgherbst/svrealSynthesizable real number library in SystemVerilog, supporting both fixed- and floating-point formats
axi_nodepulp-platform10https://github.com/pulp-platform/axi_nodeAXI X-Bar
Cryptography-in-Systemverilogzhouchuanrui10https://github.com/zhouchuanrui/Cryptography-in-SystemverilogA collection of cryptographic algorthms implemented in SystemVerilog
axi4-interfacemmxsrup10https://github.com/mmxsrup/axi4-interfaceAXI4 and AXI4-Lite interface definitions
SHIT-Core-NSCSCC2020Superscalar-HIT-Core10https://github.com/Superscalar-HIT-Core/SHIT-Core-NSCSCC2020a Quad-issue, Out-of-order Superscalar MIPS Processor Implemented in SystemVerilog
ahb3lite_pkgRoaLogic9https://github.com/RoaLogic/ahb3lite_pkgCommon SystemVerilog package used by all RoaLogic IP with AMBA AHB3-Lite interfaces
sv_csv_parserMochenx9https://github.com/Mochenx/sv_csv_parserA CSV file parser, written in SystemVerilog
jarvisukshady8312139https://github.com/shady831213/jarvisukJust A Really Very Impressive Systemverilog UVM Kit
freecellera-uvmFreecellera8https://github.com/Freecellera/freecellera-uvmFreecellera fork of the Universal Verification Methodology (SystemVerilog verification library from Accellera.org)
Verilog-FixedPointWangXuan958https://github.com/WangXuan95/Verilog-FixedPointVerilog fixed-point lib: custom bit width, arithmetic, converting to float, with single cycle & pipeline version.
common_verificationpulp-platform7https://github.com/pulp-platform/common_verificationSystemVerilog modules and classes commonly used for verification
reflectiontudortimi7https://github.com/tudortimi/reflectionReflection API for SystemVerilog
vpitudortimi7https://github.com/tudortimi/vpiSystemVerilog wrapper over the Verilog Programming Interface (VPI)
YasaUvkzhajio19887https://github.com/zhajio1988/YasaUvk🐛UVM verification kits which uses YASA as simulation script
dragonphy2StanfordVLSI7https://github.com/StanfordVLSI/dragonphy2Open Source PHY v2
NN_Network_On_Chipeanchlia7https://github.com/eanchlia/NN_Network_On_ChipDesigned a pipelined calculation engine to read input/weights of neuron and compute/store results in SystemVerilog. Implemented fabric to interface four instances of neural engine with AHB bus to create NOC.
constraintlayeringtenthousandfailures6https://github.com/tenthousandfailures/constraintlayeringSystemVerilog Constraint Layering via Reusable Randomization Policy Classes Examples
tbcmtaichi-ishitani6https://github.com/taichi-ishitani/tbcmBasic Common Modules
FPGA-SDcard-Reader-SPIWangXuan956https://github.com/WangXuan95/FPGA-SDcard-Reader-SPIFPGA-based SDcard Reader via SPI.
SystemVerilogyuxuanZh5https://github.com/yuxuanZh/SystemVerilogThis is a code repo for previous projects in Digital Design & Verification
AHB-SystemVerilogforever-gk5https://github.com/forever-gk/AHB-SystemVerilogNone
yammamiq-consulting5https://github.com/amiq-consulting/yammYAMM package repository
GaiaGeraltShi5https://github.com/GeraltShi/GaiaGenerate UVM testbench framework template files with Python 3
SV-for-Designjomonkjoy5https://github.com/jomonkjoy/SV-for-DesignSystemverilog Design Packages
usb20devesynr3z5https://github.com/esynr3z/usb20devUSB 2.0 FS Device controller IP core written in SystemVerilog
gpio_agentimokanj5https://github.com/imokanj/gpio_agentGeneral Purpose I/O agent written in UVM
Fake-SDcardWangXuan955https://github.com/WangXuan95/Fake-SDcardImitate SDcard using FPGAs.
LLAPIKitrinx5https://github.com/Kitrinx/LLAPIBliss-Box Low Latency API Implementation in SystemVerilog
entc_missing_semesterabarajithan115https://github.com/abarajithan11/entc_missing_semesterAn initiative to familiarize the students of ENTC with tools (Vivado…) and languages (SystemVerilog…)
aes128smartfoxdata5https://github.com/smartfoxdata/aes128The aes128 is a SystemVerilog implementation of the AES algorithm with 128-bit key
SonyCellSPUppujari245https://github.com/ppujari24/SonyCellSPUImplementation of a Dual Issue Pipelined Multimedia Processor Architecture (SONY Cell SPU) in SystemVerilog
sva_tracesgo2uvm5https://github.com/go2uvm/sva_tracesTraces for SVA - SystemVerilog Assertions; Will use Go2UVM package to write traces and use uvm_report_mock to predict errors
SystemVerilog_TBscott79504https://github.com/scott7950/SystemVerilog_TBSystemVerilog Testbench
System-Snakeandrewandrepowell4https://github.com/andrewandrepowell/System-SnakeSnake game implemented in SystemVerilog, running on the Digilent Nexys DDR 4.
Single-Cycle-Processortianrenz24https://github.com/tianrenz2/Single-Cycle-ProcessorSingle-Cycle RISC-V Processor in systemverylog
Digital-piano-in-SystemVerilogz-e-r-04https://github.com/z-e-r-0/Digital-piano-in-SystemVerilogThis project is compatible for BASYS3 and Beti Board. It has 4 modules (incuding top module).
HDL-Safearokasprz1004https://github.com/arokasprz100/HDL-SafeSimple safe lock mechanism written in SystemVerilog.
crc_calchellgate2024https://github.com/hellgate202/crc_calcSimple and effective parallel CRC calculator written in synthesizable SystemVerilog
riscv_asm_svjeras4https://github.com/jeras/riscv_asm_svRISC-V assembler/dis-assembler written in SystemVerilog
svlint-actiondalance4https://github.com/dalance/svlint-actionNone
tuetaichi-ishitani4https://github.com/taichi-ishitani/tueUseful UVM extensions
uvmgenedcote4https://github.com/edcote/uvmgenUVM verification component and testbench generator tool
fpga-projectsalisemi4https://github.com/alisemi/fpga-projectsFPGA Projects written using SystemVerilog, Verilog, and VHDL are put here in seperate folders.
cagtamiq-consulting4https://github.com/amiq-consulting/cagtCommon Agent is a generic agent implemented in SystemVerilog, based on UVM methodology, which can be easily extended to create very fast an UVM based agent for any protocol.
tvip-apbtaichi-ishitani4https://github.com/taichi-ishitani/tvip-apbVerification IP for AMBA APB Protocol
Hard-JPEG-LSWangXuan954https://github.com/WangXuan95/Hard-JPEG-LSFPGA-based JPEG-LS image encoder in near-lossless mode.
systemverilogzstechly3https://github.com/zstechly/systemverilogSystem Verilog Presentation / example code I wrote to use as a template for future test benches
Memorychenyangbing3https://github.com/chenyangbing/MemoryNone
FPGA-Fighting-Gameseanluo13https://github.com/seanluo1/FPGA-Fighting-GameI created a two-player fighting game that can be played on an Altera Cyclone IV FPGA.
Async_FIFO_Verificationakzare3https://github.com/akzare/Async_FIFO_VerificationPresents a verification use case for a typical Asynchronous FIFO based on Systemverilog and UVM.
sv_practiceharpreetbhatia3https://github.com/harpreetbhatia/sv_practicePractice exercises for SystemVerilog, UVM …
digital_circuitsanthonyabeo3https://github.com/anthonyabeo/digital_circuitsA collection of digital logic circuits
HDLembeddedmoscow3https://github.com/embeddedmoscow/HDLVerilog & SystemVerilog examples for articles
评论
添加红包

请填写红包祝福语或标题

红包个数最小为10个

红包金额最低5元

当前余额3.43前往充值 >
需支付:10.00
成就一亿技术人!
领取后你会自动成为博主和红包主的粉丝 规则
hope_wisdom
发出的红包
实付
使用余额支付
点击重新获取
扫码支付
钱包余额 0

抵扣说明:

1.余额是钱包充值的虚拟货币,按照1:1的比例进行支付金额的抵扣。
2.余额无法直接购买下载,可以购买VIP、付费专栏及课程。

余额充值